Merge pull request #829 from abdelrahmanhosny/master
[yosys.git] / passes /
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-08 Clifford WolfMerge pull request #1078 from YosysHQ/eddie/muxcover_costs
2019-06-07 Eddie HungAllow muxcover costs to be changed
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-06 Clifford WolfMerge pull request #1071 from YosysHQ/eddie/fix_1070
2019-06-06 Clifford WolfMerge pull request #1072 from YosysHQ/eddie/fix_1069
2019-06-05 Eddie HungMissing doc for -tech xilinx in shregmap
2019-06-05 Eddie HungError out if no top module given before 'sim'
2019-06-05 Eddie HungFix typo in opt_rmdff
2019-06-05 Eddie HungMerge pull request #1067 from YosysHQ/clifford/fix1065
2019-06-05 Clifford WolfMerge pull request #1066 from YosysHQ/clifford/fix1056
2019-06-05 Clifford WolfMajor rewrite of wire selection in setundef -init
2019-06-05 Clifford WolfIndent fix
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-05 Clifford WolfFix typo in fmcombine log message, fixes #1063
2019-06-05 Clifford WolfSuppress driver-driver conflict warning for unknown...
2019-05-31 Clifford WolfFix "tee" handling of log_streams
2019-05-28 Clifford WolfMerge pull request #1049 from YosysHQ/clifford/fix1047
2019-05-28 Clifford WolfMerge pull request #1050 from YosysHQ/clifford/wandwor
2019-05-28 Clifford WolfDo not use shiftmul peepopt pattern when mul result...
2019-05-28 Clifford WolfRefactor hierarchy wand/wor handling
2019-05-28 Clifford WolfMerge branch 'wandwor' of https://github.com/thasti...
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Stefan Biereigelmove wand/wor resolution into hierarchy pass
2019-05-27 Clifford WolfMerge pull request #1044 from mmicko/invalid_width_range
2019-05-27 Clifford WolfMerge pull request #1043 from mmicko/unsized_constant
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-25 Eddie HungRevert enable check
2019-05-25 Eddie Hungopt_rmdff to optimise even in presence of enable signal...
2019-05-24 Eddie HungAdd comments
2019-05-24 Eddie HungResolve @cliffordwolf review, set even if !has_init
2019-05-23 Eddie HungFix spacing
2019-05-23 Eddie Hungopt_rmdff to work on $dffe and $_DFFE_*
2019-05-23 Clifford WolfMerge pull request #1031 from mdaiter/optimizeLookupTab...
2019-05-22 Clifford WolfKeep zero-width wires in opt_clean if and only if they...
2019-05-22 Clifford WolfMerge pull request #1019 from YosysHQ/clifford/fix1016
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-20 Clifford WolfAdd "wreduce -keepdc", fixes #1016
2019-05-16 Clifford WolfMerge pull request #1013 from antmicro/parameter_attributes
2019-05-15 Clifford WolfMerge pull request #1012 from YosysHQ/clifford/sigspecrw
2019-05-15 Clifford WolfImprovements in opt_clean
2019-05-15 Clifford WolfDo not leak file descriptors in cover.cc
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-15 Henner ZellerFix two instances of integer-assignment to string.
2019-05-14 whitequarkbugpoint: check for -script option.
2019-05-12 Clifford WolfMerge pull request #1004 from YosysHQ/clifford/fix1002
2019-05-12 Clifford WolfFix handling of glob_abort_cnt in opt_muxtree, fixes...
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "fmcombine -initeq -anyeq"
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-10 Makai MannZinit option '-singleton' -> '-all'
2019-05-09 Jakob Wenzelinitialize more registers in setundef -init
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Kristoffer Ellersg... Fix all warnings that occurred when compiling with...
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-07 Clifford WolfMerge pull request #996 from mdaiter/ceil_log2_opts
2019-05-07 Clifford WolfMore opt_clean cleanups
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge pull request #975 from YosysHQ/clifford/fix968
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Clifford WolfBugfix in peepopt_shiftmul.pmg
2019-05-06 Clifford WolfMerge pull request #992 from bwidawsk/bison-fix
2019-05-06 Clifford WolfMerge pull request #989 from YosysHQ/dave/abc_name_improve
2019-05-06 Clifford WolfFix bug in "expose -input"
2019-05-06 Clifford WolfCleanups in opt_clean
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-04 Clifford WolfMerge pull request #988 from YosysHQ/clifford/fix987
2019-05-04 David Shahabc: Fix handling of postfixed names (e.g. for retiming)
2019-05-04 David Shahabc: Improve name recovery
2019-05-04 Clifford WolfImprove opt_clean handling of unused wires
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfAdd "hierarchy -chparam" support for non-verific top...
2019-05-03 Eddie Hunglog_warning_noprefix -> log_warning as per review
2019-05-03 Eddie HungWIP -chparam support for hierarchy when verific
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Clifford WolfMerge pull request #984 from YosysHQ/eddie/fix_982
2019-05-03 Eddie HungIf init is 1'bx, do not add to dict as per @cliffordwolf
2019-05-03 Eddie HungRevert "dffinit -noreinit to silently continue when...
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-03 Clifford WolfMerge pull request #985 from YosysHQ/clifford/fix981
2019-05-03 Clifford WolfImprove opt_expr and opt_clean handling of (partially...
2019-05-03 Clifford WolfFurther improve unused-detection for opt_clean driver...
2019-05-03 Clifford WolfImprove unused-detection for opt_clean driver-driver...
2019-05-03 Clifford WolfUpdate pmgen documentation
2019-05-03 Clifford WolfFix typo
2019-05-03 Eddie Hungdffinit -noreinit to silently continue when init value...
2019-05-02 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-02 Eddie HungMerge pull request #978 from ucb-bar/fmtfirrtl
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-05-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-01 Clifford WolfMerge branch 'clifford/fix883'
2019-05-01 Clifford WolfAdd missing enable_undef to "sat -tempinduct-def",...
next