Reformat execute2
[microwatt.git] / soc.vhdl
2019-09-10 Benjamin HerrenschmidtSwitch soc to use std_ulogic
2019-09-10 Benjamin HerrenschmidtShare soc.vhdl between FPGA and sim