abc9: generate $abc9_holes design instead of <name>$holes
[yosys.git] / techlibs / anlogic / anlogic_eqn.cc
2020-04-15 N. EngelhardtMerge pull request #1830 from boqwxp/qbfsat
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-08 David ShahMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-07 Jim LawsonMerge branch 'master' into firrtl_err_on_unsupported_cell
2019-08-07 Clifford WolfMerge pull request #1240 from ucb-bar/firrtl-properties...
2019-08-07 David ShahMerge pull request #1241 from YosysHQ/clifford/jsonfix
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-03 whitequarkMerge pull request #1242 from jfng/fix-proc_prune-partial
2019-08-02 Clifford WolfMerge pull request #1238 from mmicko/vsbuild_fix
2019-08-02 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-08-02 Clifford WolfMerge pull request #1239 from mmicko/mingw_fix
2019-08-01 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-02-28 Larry DoolittleReduce amount of trailing whitespace in code base
2018-12-18 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-05 Clifford WolfMerge pull request #713 from Diego-HR/master
2018-12-05 Clifford WolfMerge pull request #712 from mmicko/anlogic-support
2018-12-01 Miodrag MilanovicInitial support for Anlogic FPGA