Remove peepopt call in synth_xilinx since already in synth -run coarse
[yosys.git] / techlibs / common / dff2ff.v
2017-05-31 Clifford WolfAdd dff2ff.v techmap file