Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / common / dff2ff.v
2017-05-31 Clifford WolfAdd dff2ff.v techmap file