Added $lcu cell type
[yosys.git] / techlibs / common / techmap.v
2014-09-08 Clifford WolfAdded $lcu cell type
2014-09-08 Clifford WolfAdded "$fa" cell type
2014-09-07 Clifford WolfUsing maccmap for $macc and $mul techmap
2014-09-06 Clifford WolfVarious bug fixes (related to $macc model testing)
2014-09-06 Clifford WolfAdded $macc SAT model
2014-09-06 Clifford WolfAdded $macc simlib model (also use as techmap rule...
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-08-31 Clifford WolfAdded $lut support in test_cell, techmap, satgen
2014-08-30 Clifford WolfAdded $alu cell type
2014-08-30 Clifford WolfReplaced $__alu CO/CS outputs with full-width CO output
2014-08-18 Clifford WolfUsing "via_celltype" in $mul carry-save-acc implementation
2014-08-17 Clifford WolfPerformance fix for new $__lcu techmap rule
2014-08-17 Clifford WolfReplaced recursive lcu scheme with bk adder
2014-08-16 Clifford WolfMultiply using a carry-save accumulator
2014-08-16 Clifford WolfChanges in techmap $__alu interface
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-14 Clifford WolfSimplified $__arraymul techmap rule
2014-08-14 Clifford WolfRIP $safe_pmux
2014-08-13 Clifford WolfAdded techmap support for actual lookahead carry unit
2014-08-13 Clifford WolfPreparations for lookahead ALU support in techmap.v
2014-08-13 Clifford WolfNew interface for $__alu in techmap.v
2014-08-03 Clifford WolfImplemented recursive techmap
2014-07-31 Clifford WolfRenamed "stdcells.v" to "techmap.v"