Merge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share
[yosys.git] / techlibs / gowin / arith_map.v
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-02-28 Larry DoolittleReduce amount of trailing whitespace in code base
2018-12-18 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-05 Clifford WolfMerge pull request #713 from Diego-HR/master
2018-12-04 Diego HChanges in GoWin synth commands and ALU primitive support