greenpak4: added model for GP_EDGEDET block
[yosys.git] / techlibs / greenpak4 / cells_sim.v
2016-10-19 Andrew Zonenberggreenpak4: added model for GP_EDGEDET block
2016-10-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-10-17 Andrew Zonenberggreenpak4: Changed parameters for GP_SYSRESET
2016-08-14 Clifford WolfMerge pull request #200 from azonenberg/master
2016-08-14 Andrew Zonenberggreenpak4: Changed name of inverted output ports for...
2016-08-14 Andrew Zonenberggreenpak4: Added GP_DFFxI cells
2016-08-14 Andrew Zonenberggreenpak4: Renamed ports for better consistency (see...
2016-07-13 Clifford WolfMerge pull request #191 from whitequark/json-module...
2016-07-13 Clifford WolfMerge pull request #193 from azonenberg/master
2016-07-12 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-07-12 Andrew ZonenbergAdded GP_DAC cell
2016-07-12 Andrew ZonenbergRemoved VOUT port of GP_BANDGAP
2016-07-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-07-10 Clifford WolfMerge pull request #189 from whitequark/master
2016-07-10 whitequarkgreenpak4: add GP_COUNT{8,14}_ADV cells.
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-05-08 Clifford WolfMerge pull request #162 from azonenberg/master
2016-05-08 Andrew ZonenbergAdded GP_DELAY cell
2016-05-08 Andrew ZonenbergFixed typo in port name
2016-05-08 Andrew ZonenbergFixed extra semicolon
2016-05-08 Andrew ZonenbergFixed typo in parameter name
2016-05-08 Andrew ZonenbergAdded simulation timescale declaration
2016-05-05 Clifford WolfMerge pull request #159 from azonenberg/master
2016-05-05 Andrew ZonenbergRenamed module parameter
2016-05-04 Clifford WolfMerge pull request #157 from azonenberg/master
2016-05-04 Andrew ZonenbergFixed incorrect signal naming in GP_IOBUF
2016-05-04 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-05-04 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-05-04 Andrew ZonenbergAdded tri-state I/O extraction for GreenPak
2016-05-04 Andrew ZonenbergAdded GreenPak I/O buffer cells
2016-05-03 Andrew ZonenbergAdded comment to clarify GP_ABUF cell
2016-05-03 Andrew ZonenbergAdded GP_ABUF cell
2016-05-02 Clifford WolfMerge pull request #154 from azonenberg/master
2016-05-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-29 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-28 Andrew ZonenbergAdded GP_PGA cell
2016-04-25 Clifford WolfMerge pull request #150 from azonenberg/master
2016-04-25 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-25 Andrew ZonenbergRemoved VIN_BUF_EN
2016-04-24 Andrew ZonenbergRenamed VOUT to OUT on GP_ACMP cell
2016-04-24 Andrew ZonenbergAdded GP_ACMP cell
2016-04-23 Clifford WolfMerge https://github.com/azonenberg/yosys
2016-04-23 Andrew ZonenbergFixed typo
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Andrew ZonenbergAdded GP_VREF cell
2016-04-19 Clifford WolfMerge pull request #149 from azonenberg/master
2016-04-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-17 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-16 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-14 Andrew ZonenbergAdded GP_SHREG cell
2016-04-14 Andrew ZonenbergRefactoring: alphabetized cells_sim
2016-04-09 Andrew ZonenbergFixed missing semicolon
2016-04-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-09 Andrew ZonenbergAdded GP_RCOSC cell
2016-04-08 Clifford WolfMerge pull request #147 from azonenberg/master
2016-04-07 Andrew ZonenbergAdded second divider to GP_RINGOSC
2016-04-07 Andrew ZonenbergAdded GP_RINGOSC primitive
2016-04-07 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-05 Andrew ZonenbergAdded GP_POR
2016-04-04 Andrew ZonenbergAdded GP_BANDGAP cell
2016-04-02 Clifford WolfMerge pull request #144 from azonenberg/master
2016-04-02 Andrew ZonenbergAdded GreenPak inverter support
2016-03-31 Clifford WolfMerge pull request #142 from azonenberg/master
2016-03-31 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-03-31 Andrew ZonenbergUpdated tech lib for greenpak4 counter with some clarif...
2016-03-30 Andrew ZonenbergInitial work on greenpak4 counter extraction. Doesn...
2016-03-29 Clifford WolfMerge pull request #141 from azonenberg/master
2016-03-29 Andrew ZonenbergAdded keep constraint to GP_SYSRESET cell
2016-03-29 Andrew ZonenbergAdded GP_SYSRESET block
2016-03-28 Clifford WolfMerge pull request #137 from ravenexp/master
2016-03-28 Clifford WolfMerge pull request #138 from SebKuzminsky/help-typo
2016-03-28 Clifford WolfMerge pull request #139 from azonenberg/master
2016-03-27 Andrew ZonenbergAdded GP_COUNT8/GP_COUNT14 cells
2016-03-26 Andrew ZonenbergChanged GP_LFOSC parameter configuration
2016-03-26 Andrew ZonenbergAdded GP_LFOSC cell
2016-03-26 Andrew ZonenbergRenamed GP4_V* cells to GP_V* for consistency
2016-03-23 Clifford WolfAdded GP_DFFS, GP_DFFR, and GP_DFFSR
2016-03-23 Clifford WolfAdded GP_DFF INIT parameter
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-09-18 Clifford WolfRenamed GreenPAK4 cells, improved GP4 DFF mapping
2015-09-16 Clifford WolfAdded GreenPAK4 skeleton