abc9: generate $abc9_holes design instead of <name>$holes
[yosys.git] / techlibs / greenpak4 /
2017-08-14 Andrew ZonenbergChanged LEVEL resets to be edge triggered anyway
2017-08-14 Andrew ZonenbergAdded level-triggered reset support to GP_COUNTx simula...
2017-08-14 Andrew ZonenbergFixed undeclared "count" in GP_COUNT8_ADV
2017-08-14 Andrew ZonenbergFixed undeclared "count" in GP_COUNT14_ADV
2017-08-14 Andrew ZonenbergFixed typo in last commit
2017-08-14 Andrew ZonenbergFinished initial GP_COUNT8/14/8_ADV/14_ADV sim models...
2017-08-14 Andrew ZonenbergFixed typo in COUNT8 model
2017-08-14 Andrew ZonenbergMoved GP_POR out of digital cells b/c it has delays
2017-08-14 Andrew ZonenbergImproved cells_sim_digital model for GP_COUNT8
2017-08-14 Andrew ZonenbergRefactored GreenPAK4 cells_sim into cells_sim_ams and...
2017-07-03 Clifford WolfMerge pull request #352 from rqou/master
2017-06-27 Clifford WolfMerge pull request #353 from azonenberg/master
2017-06-24 Andrew Zonenberggreenpak4_counters: Changed generation of primitive...
2017-05-23 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-05-23 Clifford WolfMerge pull request #346 from azonenberg/master
2017-05-23 Andrew Zonenberggreenpak4_counters: Added support for parallel output...
2017-04-12 Larry DoolittleSquelch trailing whitespace
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-24 Clifford WolfMerge pull request #322 from azonenberg/master
2017-02-24 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-16 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Clifford WolfFix double-call of log_pop() in synth_greenpak4
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-15 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-05 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-01 Andrew Zonenberggreenpak4: Added POUT to GP_COUNTx cells
2016-12-24 Clifford WolfMerge pull request #284 from azonenberg/master
2016-12-23 Andrew ZonenbergMerge pull request #1 from azonenberg-hk/master
2016-12-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-12-21 Andrew Zonenberggreenpak4: Added INT pin to GP_SPI
2016-12-21 Andrew Zonenberggreenpak4: removed unused MISO pin from GP_SPI
2016-12-20 Andrew Zonenberggreenpak4: Removed SPI_BUFFER parameter
2016-12-20 Andrew Zonenberggreenpak4: replaced MOSI/MISO with single one-way SDAT pin
2016-12-20 Andrew Zonenberggreenpak4: Changed port names on GP_SPI for clarity
2016-12-20 Andrew Zonenberggreenpak4: Initial implementation of GP_SPI cell
2016-12-17 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-12-17 Andrew Zonenberggreenpak4: Updated GP_DCMP cell model
2016-12-16 Andrew Zonenberggreenpak: Fixes to GP_DCMP* blocks. Added GP_CLKBUF.
2016-12-15 Andrew Zonenberggreenpak4: Initial version of GP_DCMP skeleton (not...
2016-12-14 Andrew Zonenberggreenpak4: More fixups of GP_DCMPx cells
2016-12-14 Andrew Zonenberggreenpak4: And another typo :(
2016-12-14 Andrew Zonenberggreenpak4: Fixed another typo
2016-12-14 Andrew Zonenberggreenpak4: Fixed typo
2016-12-14 Andrew Zonenberggreenpak4: Cleaned up trailing spaces in cells_sim
2016-12-14 Andrew Zonenberggreenpak4: Added GP_DCMPREF / GP_DCMPMUX
2016-12-12 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-12-11 Andrew ZonenbergAdded GP_PWRDET block, BANDWIDTH_KHZ parameter to GP_ABUF
2016-12-10 Andrew Zonenberggreenpak4: Added support for inferred input/output...
2016-12-10 Andrew Zonenberggreenpak4: Can now techmap inferred D latches (without...
2016-12-10 Andrew Zonenberggreenpak4: Inverted D latch cells now have nQ instead...
2016-12-06 Andrew ZonenbergAdded GP_DLATCH and GP_DLATCHI
2016-12-06 Andrew ZonenbergInitial implementation of techlib support for GreenPAK...
2016-12-06 Andrew ZonenbergUpdated help text for synth_greenpak4
2016-10-19 Clifford WolfMerge pull request #250 from azonenberg/master
2016-10-19 Andrew ZonenbergFixed typo in last commit
2016-10-19 Andrew Zonenberggreenpak4: Added GP_PGEN cell definition
2016-10-19 Andrew ZonenbergAdded GLITCH_FILTER parameter to GP_DELAY
2016-10-19 Andrew Zonenberggreenpak4: added model for GP_EDGEDET block
2016-10-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-10-17 Andrew Zonenberggreenpak4: Changed parameters for GP_SYSRESET
2016-08-15 Clifford WolfAdded greenpak4_dffinv
2016-08-14 Clifford WolfMerge pull request #200 from azonenberg/master
2016-08-14 Andrew Zonenberggreenpak4: Changed name of inverted output ports for...
2016-08-14 Andrew Zonenberggreenpak4: Added GP_DFFxI cells
2016-08-14 Andrew Zonenberggreenpak4: Renamed ports for better consistency (see...
2016-08-11 Clifford WolfMerge pull request #198 from whitequark/master
2016-08-10 whitequarksynth_greenpak4: use attrmvcp to move LOC from wires...
2016-07-13 Clifford WolfMerge pull request #191 from whitequark/json-module...
2016-07-13 Clifford WolfMerge pull request #193 from azonenberg/master
2016-07-12 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-07-12 Andrew ZonenbergAdded GP_DAC cell
2016-07-12 Andrew ZonenbergRemoved VOUT port of GP_BANDGAP
2016-07-12 Andrew ZonenbergRemoved splitnets in prep for new gp4par parser
2016-07-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-07-10 Clifford WolfMerge pull request #189 from whitequark/master
2016-07-10 whitequarkgreenpak4: add GP_COUNT{8,14}_ADV cells.
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-09 Clifford WolfAdded "nlutmap -assert"
2016-05-08 Clifford WolfMerge pull request #162 from azonenberg/master
2016-05-08 Andrew ZonenbergAdded GP_DELAY cell
2016-05-08 Andrew ZonenbergFixed typo in port name
2016-05-08 Andrew ZonenbergFixed extra semicolon
2016-05-08 Andrew ZonenbergFixed typo in parameter name
2016-05-08 Andrew ZonenbergAdded simulation timescale declaration
2016-05-05 Clifford WolfMerge pull request #159 from azonenberg/master
2016-05-05 Andrew ZonenbergChanged order of passes for better handling of INIT...
2016-05-05 Andrew ZonenbergRenamed module parameter
2016-05-04 Andrew ZonenbergRefactored synth_greenpak4 to use iopadmap for mapping...
2016-05-04 Clifford WolfMerge pull request #157 from azonenberg/master
2016-05-04 Andrew ZonenbergFixed incorrect signal naming in GP_IOBUF
2016-05-04 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-05-04 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-05-04 Andrew ZonenbergAdded tri-state I/O extraction for GreenPak
2016-05-04 Andrew ZonenbergAdded GreenPak I/O buffer cells
2016-05-03 Andrew ZonenbergAdded comment to clarify GP_ABUF cell
2016-05-03 Andrew ZonenbergAdded GP_ABUF cell
next