Update synth_ice40 -device doc to be relevant for -abc9 only
[yosys.git] / techlibs / ice40 / cells_sim.v
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-22 Eddie HungFix and cleanup ice40 boxes for carry in/out
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungRemove WIP ABC9 flop support
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRemove abc_flop{,_d} attributes from ice40/cells_sim.v
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-10 David ShahMerge pull request #1082 from corecode/u4k
2019-06-10 Simon Schubertice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR...
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-03 Eddie HungMake SB_LUT4 a whitebox, SB_DFF a blackbox (for now)
2019-06-03 Eddie HungConsistent with xilinx
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 Clifford WolfMerge pull request #1010 from hzeller/yacc-self-contained
2019-05-15 Clifford WolfMerge pull request #1008 from thasti/fix_libyosys_build
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-13 Sylvain Munautice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-23 Eddie HungUse nonblocking
2019-04-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungConvert to use #945
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Luke Wrenice40 cells_sim.v: SB_IO: update clock enable behaviour...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Eddie HungABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
2019-04-19 Eddie HungFix SB_DFF comb model
2019-04-19 Eddie HungMissing close bracket
2019-04-19 Eddie HungAnnotate SB_DFF* with abc_flop and abc_box_id
2019-04-18 Eddie HungUse new -wb flag for ABC flow
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-17 Eddie HungMissing abc_flop_q attribute on SPRAM
2019-04-17 Eddie HungMark seq output ports with "abc_flop_q" attr
2019-04-17 Eddie HungRevert "Try using an ICE40_CARRY_LUT primitive to avoid...
2019-04-17 Eddie HungTry using an ICE40_CARRY_LUT primitive to avoid ABC...
2019-04-17 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-16 Eddie HungAdd ice40 box files
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfRemove ice40/cells_sim.v hack to avoid warning for...
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-07 Sylvain Munautice40: Add ice40_braminit pass to allow initialization...
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
next