Add ice40 box files
[yosys.git] / techlibs / ice40 / cells_sim.v
2019-04-16 Eddie HungAdd ice40 box files
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfRemove ice40/cells_sim.v hack to avoid warning for...
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-07 Sylvain Munautice40: Add ice40_braminit pass to allow initialization...
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-20 Clifford WolfImprove iCE40 SB_MAC16 model
2019-02-19 Clifford WolfAdd first draft of functional SB_MAC16 model
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #734 from grahamedgecombe/fix-shuffl...
2018-12-16 Clifford WolfMerge pull request #730 from smunaut/ffssr_dont_touch
2018-12-16 Clifford WolfMerge pull request #729 from whitequark/write_verilog_i...
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
2018-12-07 whitequarkequiv_opt: pass -D EQUIV when techmapping.
2018-12-06 Olof KindgrenOnly use non-blocking assignments of SB_RAM40_4K for...
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-10 Clifford WolfAdd iCE40 SB_SPRAM256KA simulation model
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-18 Aman GoelMerge pull request #2 from YosysHQ/master
2018-07-16 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-07-13 Clifford WolfMerge pull request #580 from daveshah1/ice40_nx
2018-07-13 David Shahice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Clifford WolfMerge pull request #551 from olofk/ice40_cells_sim_ports
2018-05-17 Olof KindgrenAvoid mixing module port declaration styles in ice40...
2018-03-11 Larry DoolittleSquelch trailing whitespace, including meta-whitespace
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-13 Clifford WolfMerge pull request #468 from grahamedgecombe/fix-sb...
2017-12-10 Graham EdgecombeFix port names in SB_IO_OD
2017-12-10 Graham EdgecombeRemove trailing comma from SB_IO_OD port list
2017-12-01 Clifford WolfMerge branch 'master' into btor-ng
2017-11-28 Clifford WolfMerge pull request #462 from daveshah1/up5k
2017-11-28 David ShahAdd remaining UltraPlus cells to ice40 techlib
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-23 Clifford WolfMerge branch 'master' into btor-ng
2017-11-23 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-11-18 Clifford WolfMerge pull request #455 from daveshah1/up5k
2017-11-18 David ShahRemove unnecessary keep attributes
2017-11-17 David ShahMerge branch 'master' into up5k
2017-11-16 David ShahAdd some UltraPlus cells to ice40 techlib
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-02-07 Clifford WolfWork around DDR dout sim glitches in ice40 SB_IO sim...
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-12-06 Cotton SeedAdded LO to ICESTORM_LC for LUT cascade route.
2015-11-06 Clifford WolfFixed iCE40 SB_IO OUTPUT_ENABLE vs. outena_q handling
2015-09-10 Clifford WolfFixed ice40 handling of negclk RAM40
2015-08-13 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-08-12 Clifford WolfImproved handling of "keep" attributes in hierarchical...
2015-08-06 Clifford WolfMerge pull request #69 from zeldin/master
2015-08-06 Marcus ComstedtAdded iCE40 WARMBOOT cell
2015-07-27 Clifford WolfDon't write a 17th memory bit in ice40/cells_sim (by...
2015-07-20 Clifford WolfiCE40 DFF sim models: init Q regs to 0
2015-07-18 Clifford WolfAvoid tristate warning for blackbox ice40/cells_sim.v
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-05-31 Clifford WolfAdded iCE40 PLL cells
2015-05-23 Clifford Wolfimproved ice40 SB_IO sim model
2015-05-23 Clifford WolfAdded ice40 SB_IO sim model
2015-04-25 Clifford Wolfimproved iCE40 SB_RAM40_4K simulation model
2015-04-25 Clifford WolfMore iCE40 bram improvements
2015-04-24 Clifford WolfiCE40 bram tests and fixes
2015-04-19 Clifford WolfiCE40: Added SB_RAM40_4K{,NR,NW,NRNW}* models
2015-04-16 Clifford WolfChanged ice40 ICESTORM_CARRYCONST port name
2015-04-16 Clifford Wolfimproved ice40 dff cell mapping
2015-04-14 Clifford Wolfmore cells in ice40 cell library
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-05 Clifford WolfAdded very first version of "synth_ice40"