Comment out SB_MAC16 arrival time for now, need to handle all its modes
[yosys.git] / techlibs / ice40 / cells_sim.v
2019-08-29 Eddie HungComment out SB_MAC16 arrival time for now, need to...
2019-08-29 Eddie HungAdd arrival for SB_MAC16.O
2019-08-29 Eddie HungAdd arrival times for U
2019-08-29 Eddie HungRound not floor
2019-08-29 Eddie HungAdd LP timings
2019-08-29 Eddie HungLX -> LP
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-29 Eddie HungTrailing comma
2019-08-29 Eddie HungAdd arrival times for HX devices
2019-08-29 Eddie HungMerge remote-tracking branch 'origin/eddie/fix_carry_wr...
2019-08-29 Eddie HungUpdate to new $__ICE40_CARRY_WRAPPER
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-24 Clifford WolfMerge pull request #1327 from YosysHQ/clifford/pmgen
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgen...
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungPut abc_* attributes above port
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-22 Clifford WolfMerge pull request #1289 from mmicko/anlogic_fixes
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-20 Eddie HungMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-08-20 Eddie HungMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-08-19 Eddie HungUnify abc_carry_{in,out} into abc_carry and use port...
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-16 Eddie HungUpdate abc_* attr in ecp5 and ice40
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-07-25 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-25 Clifford WolfMerge branch 'ZirconiumX-synth_intel_m9k'
2019-07-25 Eddie HungMerge pull request #1224 from YosysHQ/xilinx_fix_ff
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-23 Eddie HungMerge pull request #1212 from YosysHQ/eddie/signed_ice4...
2019-07-19 David Shahice40/cells_sim.v: Fix sign of J and K partial products
2019-07-19 David Shahice40/cells_sim.v: LSB of A/B only signed in 8x8 mode
2019-07-18 Clifford WolfMerge pull request #1184 from whitequark/synth-better...
2019-07-16 Eddie HungMerge pull request #1188 from YosysHQ/eddie/abc9_push_i...
2019-07-16 Eddie HungMerge pull request #1186 from YosysHQ/eddie/abc9_ice40_fix
2019-07-15 Eddie Hung$__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per ...
2019-07-12 Eddie HungAdd new box to cells_sim.v
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-01 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-24 Eddie HungMerge remote-tracking branch 'origin/eddie/ram32x1d...
2019-06-23 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungFix and cleanup ice40 boxes for carry in/out
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1091...
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1118...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-14 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-14 Eddie HungRemove WIP ABC9 flop support
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRemove abc_flop{,_d} attributes from ice40/cells_sim.v
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-10 David ShahMerge pull request #1082 from corecode/u4k
2019-06-10 Simon Schubertice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR...
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
next