projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first
⋅
prev
⋅
next
Comment out SB_MAC16 arrival time for now, need to handle all its modes
[yosys.git]
/
techlibs
/
ice40
/
cells_sim.v
2019-06-06
David Shah
Merge pull request #1073 from whitequark/ecp5-diamond-iob
blob
|
commitdiff
|
raw
2019-06-05
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-06-05
Eddie Hung
Merge remote-tracking branch 'origin/clifford/fix1065...
blob
|
commitdiff
|
raw
|
diff to current
2019-06-05
Clifford Wolf
Merge pull request #999 from jakobwenzel/setundefInitFix
blob
|
commitdiff
|
raw
2019-06-04
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-06-03
Eddie Hung
Make SB_LUT4 a whitebox, SB_DFF a blackbox (for now)
blob
|
commitdiff
|
raw
|
diff to current
2019-06-03
Eddie Hung
Consistent with xilinx
blob
|
commitdiff
|
raw
|
diff to current
2019-05-31
Eddie Hung
Merge branch 'xaig' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-05-28
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-05-26
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-05-23
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-05-23
Eddie Hung
Merge remote-tracking branch 'origin/eddie/opt_rmdff...
blob
|
commitdiff
|
raw
|
diff to current
2019-05-21
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-05-21
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
2019-05-15
Clifford Wolf
Merge pull request #1011 from hzeller/fix-constructing...
blob
|
commitdiff
|
raw
2019-05-15
Clifford Wolf
Merge pull request #1010 from hzeller/yacc-self-contained
blob
|
commitdiff
|
raw
2019-05-15
Clifford Wolf
Merge pull request #1008 from thasti/fix_libyosys_build
blob
|
commitdiff
|
raw
2019-05-15
David Shah
Merge pull request #1005 from smunaut/ice40_hfosc_trim
blob
|
commitdiff
|
raw
2019-05-13
Sylvain Munaut
ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
blob
|
commitdiff
|
raw
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/clifford/pmgenstuf...
blob
|
commitdiff
|
raw
|
diff to current
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-04-30
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
|
diff to current
2019-04-30
Benedikt Tutzer
Merge branch 'master' of https://github.com/YosysHQ...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-26
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-04-26
Eddie Hung
Merge remote-tracking branch 'origin/eddie/split_shiftx...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-26
Eddie Hung
Merge branch 'eddie/split_shiftx' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-04-23
Eddie Hung
Use nonblocking
blob
|
commitdiff
|
raw
|
diff to current
2019-04-23
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Eddie Hung
Merge branch 'xaig' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/xc7srl' into xc7mux
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7srl
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Clifford Wolf
Merge pull request #905 from christian-krieg/feature...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Clifford Wolf
Merge pull request #941 from Wren6991/sim_lib_io_clke
blob
|
commitdiff
|
raw
|
diff to current
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/clifford/libwb...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-21
Eddie Hung
Convert to use #945
blob
|
commitdiff
|
raw
|
diff to current
2019-04-21
Eddie Hung
Merge remote-tracking branch 'origin/clifford/libwb...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-21
Luke Wren
ice40 cells_sim.v: SB_IO: update clock enable behaviour...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-20
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-04-20
Eddie Hung
ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
blob
|
commitdiff
|
raw
|
diff to current
2019-04-19
Eddie Hung
Fix SB_DFF comb model
blob
|
commitdiff
|
raw
|
diff to current
2019-04-19
Eddie Hung
Missing close bracket
blob
|
commitdiff
|
raw
|
diff to current
2019-04-19
Eddie Hung
Annotate SB_DFF* with abc_flop and abc_box_id
blob
|
commitdiff
|
raw
|
diff to current
2019-04-18
Eddie Hung
Use new -wb flag for ABC flow
blob
|
commitdiff
|
raw
|
diff to current
2019-04-18
Eddie Hung
Merge remote-tracking branch 'origin/clifford/whitebox...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-17
Eddie Hung
Missing abc_flop_q attribute on SPRAM
blob
|
commitdiff
|
raw
|
diff to current
2019-04-17
Eddie Hung
Mark seq output ports with "abc_flop_q" attr
blob
|
commitdiff
|
raw
|
diff to current
2019-04-17
Eddie Hung
Revert "Try using an ICE40_CARRY_LUT primitive to avoid...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-17
Eddie Hung
Try using an ICE40_CARRY_LUT primitive to avoid ABC...
blob
|
commitdiff
|
raw
|
diff to current
2019-04-17
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-04-16
Eddie Hung
Add ice40 box files
blob
|
commitdiff
|
raw
|
diff to current
2019-04-08
Eddie Hung
Merge branch 'master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-04-01
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
|
diff to current
2019-03-28
Benedikt Tutzer
Merge remote-tracking branch 'origin/master' into featu...
blob
|
commitdiff
|
raw
|
diff to current
2019-03-19
Eddie Hung
Merge https://github.com/YosysHQ/yosys into read_aiger
blob
|
commitdiff
|
raw
|
diff to current
2019-03-14
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7srl
blob
|
commitdiff
|
raw
|
diff to current
2019-03-14
Clifford Wolf
Merge pull request #869 from cr1901/win-shell
blob
|
commitdiff
|
raw
|
diff to current
2019-03-13
Clifford Wolf
Merge pull request #868 from YosysHQ/clifford/fixmem
blob
|
commitdiff
|
raw
|
diff to current
2019-03-12
Clifford Wolf
Remove ice40/cells_sim.v hack to avoid warning for...
blob
|
commitdiff
|
raw
|
diff to current
2019-03-09
Clifford Wolf
Merge pull request #859 from smunaut/ice40_braminit
blob
|
commitdiff
|
raw
|
diff to current
2019-03-07
Sylvain Munaut
ice40: Add ice40_braminit pass to allow initialization...
blob
|
commitdiff
|
raw
|
diff to current
2019-02-28
Clifford Wolf
Merge pull request #794 from daveshah1/ecp5improve
blob
|
commitdiff
|
raw
|
diff to current
2019-02-26
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
|
diff to current
2019-02-24
Clifford Wolf
Merge pull request #812 from ucb-bar/arrayhierarchyfixes
blob
|
commitdiff
|
raw
|
diff to current
2019-02-22
Clifford Wolf
Merge pull request #819 from YosysHQ/clifford/optd
blob
|
commitdiff
|
raw
|
diff to current
2019-02-22
Clifford Wolf
Merge pull request #820 from YosysHQ/clifford/fix810
blob
|
commitdiff
|
raw
|
diff to current
2019-02-22
Clifford Wolf
Merge pull request #740 from daveshah1/improve_dress
blob
|
commitdiff
|
raw
|
diff to current
2019-02-21
Eddie Hung
Merge branch 'read_aiger' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-02-21
Eddie Hung
Merge branch 'read_aiger' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-02-21
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
blob
|
commitdiff
|
raw
|
diff to current
2019-02-21
Clifford Wolf
Merge pull request #818 from YosysHQ/clifford/dffsrfix
blob
|
commitdiff
|
raw
|
diff to current
2019-02-21
Clifford Wolf
Merge pull request #786 from YosysHQ/pmgen
blob
|
commitdiff
|
raw
|
diff to current
2019-02-20
Clifford Wolf
Improve iCE40 SB_MAC16 model
blob
|
commitdiff
|
raw
|
diff to current
2019-02-19
Clifford Wolf
Add first draft of functional SB_MAC16 model
blob
|
commitdiff
|
raw
|
diff to current
2018-12-18
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #736 from whitequark/select_assert_list
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #704 from webhat/feature/fix-awk
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #738 from smunaut/issue_737
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #735 from daveshah1/trifixes
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #724 from whitequark/equiv_opt
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #734 from grahamedgecombe/fix-shuffl...
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #730 from smunaut/ffssr_dont_touch
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #729 from whitequark/write_verilog_i...
blob
|
commitdiff
|
raw
|
diff to current
2018-12-16
Clifford Wolf
Merge pull request #725 from olofk/ram4k-init
blob
|
commitdiff
|
raw
|
diff to current
2018-12-07
whitequark
equiv_opt: pass -D EQUIV when techmapping.
blob
|
commitdiff
|
raw
|
diff to current
2018-12-06
Olof Kindgren
Only use non-blocking assignments of SB_RAM40_4K for...
blob
|
commitdiff
|
raw
|
diff to current
2018-10-02
Clifford Wolf
Merge pull request #645 from daveshah1/ecp5_dram_fix
blob
|
commitdiff
|
raw
|
diff to current
2018-10-01
Aman Goel
Merge pull request #4 from YosysHQ/master
blob
|
commitdiff
|
raw
|
diff to current
2018-09-17
Udi Finkelstein
Merge branch 'master' into pr_reg_wire_error
blob
|
commitdiff
|
raw
|
diff to current
2018-09-17
Jim Lawson
Merge remote-tracking branch 'upstream/master'
blob
|
commitdiff
|
raw
|
diff to current
2018-09-14
Clifford Wolf
Merge pull request #625 from aman-goel/master
blob
|
commitdiff
|
raw
|
diff to current
2018-09-10
Clifford Wolf
Add iCE40 SB_SPRAM256KA simulation model
blob
|
commitdiff
|
raw
|
diff to current
2018-08-22
Jim Lawson
Merge pull request #1 from YosysHQ/master
blob
|
commitdiff
|
raw
|
diff to current
2018-08-15
Clifford Wolf
Merge pull request #573 from cr1901/msys-64
blob
|
commitdiff
|
raw
|
diff to current
2018-08-15
Clifford Wolf
Merge pull request #576 from cr1901/no-resource
blob
|
commitdiff
|
raw
|
diff to current
2018-08-15
Clifford Wolf
Merge pull request #513 from udif/pr_reg_wire_error
blob
|
commitdiff
|
raw
|
diff to current
2018-08-15
Clifford Wolf
Merge pull request #562 from udif/pr_fix_illegal_port_decl
blob
|
commitdiff
|
raw
|
diff to current
2018-07-18
Aman Goel
Merge pull request #2 from YosysHQ/master
blob
|
commitdiff
|
raw
|
diff to current
2018-07-16
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys
blob
|
commitdiff
|
raw
|
diff to current
2018-07-13
Clifford Wolf
Merge pull request #580 from daveshah1/ice40_nx
blob
|
commitdiff
|
raw
|
diff to current
next