Run "peepopt" in generic "synth" pass and "synth_ice40"
[yosys.git] / techlibs / ice40 / synth_ice40.cc
2019-04-30 Clifford WolfRun "peepopt" in generic "synth" pass and "synth_ice40"
2019-04-18 Eddie HungRevert "synth_* with -retime option now calls abc with...
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-10 Eddie Hungsynth_* with -retime option now calls abc with -D 1...
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-07 Sylvain Munautice40: Run ice40_braminit pass by default
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-20 Clifford WolfAdd "synth_ice40 -dsp"
2019-02-06 David Shahice40: Use abc -dress in synth_ice40
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2019-01-02 whitequarksynth_ice40: use 4-LUT coarse synthesis mode.
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfRename "fine:" label to "map:" in "synth_ice40"
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #734 from grahamedgecombe/fix-shuffl...
2018-12-16 Clifford WolfMerge pull request #730 from smunaut/ffssr_dont_touch
2018-12-16 Clifford WolfMerge pull request #729 from whitequark/write_verilog_i...
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
2018-12-16 Clifford WolfMerge pull request #714 from daveshah1/abc_preserve_naming
2018-12-16 Clifford WolfMerge pull request #723 from whitequark/synth_ice40_map...
2018-12-06 whitequarksynth_ice40: split `map_gates` off `fine`.
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-05 Clifford WolfMerge pull request #718 from whitequark/gate2lut
2018-12-05 whitequarksynth_ice40: add -noabc option, to use built-in LUT...
2018-12-05 Clifford WolfMerge pull request #713 from Diego-HR/master
2018-12-05 Clifford WolfMerge pull request #712 from mmicko/anlogic-support
2018-12-05 Clifford WolfMerge pull request #717 from whitequark/opt_lut
2018-12-05 whitequarkopt_lut: add -dlogic, to avoid disturbing logic such...
2018-12-05 whitequarksynth_ice40: add -relut option, to run ice40_unlut...
2018-12-04 Clifford WolfMerge pull request #702 from smunaut/min_ce_use
2018-11-27 Sylvain Munautice40: Add option to only use CE if it'd be use by...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-13 Clifford WolfAdd "synth_ice40 -json"
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-04-18 Tim 'mithro' AnsellImproving vpr output support.
2018-04-18 Tim 'mithro' Ansellsynth_ice40: Rework the vpr blif output slightly.
2018-04-16 Clifford WolfAdd "synth_ice40 -nodffe"
2017-12-09 Clifford WolfMerge branch 'master' into btor-ng
2017-12-09 Clifford WolfMerge pull request #467 from mithro/patch-1
2017-12-09 Tim AnsellFix spelling in -vpr help for synth_ice40
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-18 Clifford WolfMerge pull request #452 from cr1901/master
2017-11-18 Clifford WolfMerge pull request #453 from dh73/master
2017-11-17 David ShahMerge branch 'master' into up5k
2017-11-16 Clifford WolfAdd "synth_ice40 -vpr"
2017-09-29 Clifford WolfFix synth_ice40 doc regarding -top default
2016-11-01 Clifford WolfiCE40 flow is not experimental anymore
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-19 Clifford WolfMerge branch 'sv_packages' of https://github.com/rubund...
2016-06-19 Clifford WolfAdded "deminout"
2016-05-06 Clifford WolfAdded synth_ice40 support for latches via logic loops
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-23 Clifford WolfMerge https://github.com/azonenberg/yosys
2016-04-23 Clifford WolfConverted synth_greenpak4 to ScriptPass
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-04-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-03-31 Clifford WolfAdded ScriptPass helper class for script-like passes
2016-03-31 Clifford WolfRenamed opt_const to opt_expr
2016-02-02 Clifford WolfAdded dffsr2dff
2015-12-22 Clifford WolfRe-run ice40_opt in "synth_ice40 -abc2"
2015-12-08 Clifford WolfAdded "synth_ice40 -abc2"
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-11-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-11-26 Clifford WolfAdded ice40_ffinit pass
2015-08-16 Clifford WolfAdded tribuf command
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-09 Clifford Wolfsynth_ice40 now flattens by default
2015-05-26 Clifford WolfAdded output args to synth_ice40
2015-04-27 Clifford Wolfice40_opt bugfix
next