Merge pull request #1900 from Xiretza/suppress-makefile-echo
[yosys.git] / techlibs / ice40 / tests /
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-20 Eddie HungMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-08-19 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-08-18 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-18 whitequarkMerge pull request #1290 from YosysHQ/eddie/pr1266_again
2019-08-18 whitequarkMerge branch 'master' into eddie/pr1266_again
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-12 Eddie HungRevert "Merge pull request #1280 from YosysHQ/revert...
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Eddie HungMerge pull request #1280 from YosysHQ/revert-1266-eddie...
2019-08-10 David ShahRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-10 Clifford WolfMerge pull request #1261 from YosysHQ/clifford/verific_init
2019-08-10 Clifford WolfMerge pull request #1263 from ucb-bar/firrtl_err_on_uns...
2019-08-10 Clifford WolfMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
2019-08-09 whitequarkMerge pull request #1267 from whitequark/proc_prune...
2019-08-09 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-08 Eddie HungMerge pull request #1264 from YosysHQ/eddie/fix_1254
2019-08-08 Eddie HungMerge pull request #1266 from YosysHQ/eddie/ice40_full_...
2019-08-07 Eddie HungAllow whitebox modules to be overwritten
2019-08-07 Eddie HungAdd test
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-07-25 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-25 Clifford WolfMerge branch 'ZirconiumX-synth_intel_m9k'
2019-07-25 Eddie HungMerge pull request #1224 from YosysHQ/xilinx_fix_ff
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-23 Eddie HungMerge pull request #1212 from YosysHQ/eddie/signed_ice4...
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/wreduce_add...
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/wreduce_add...
2019-07-19 Eddie HungMerge branch 'xc7dsp' into ice40dsp
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/signed_ice40...
2019-07-19 David Shahice40: Fix test_dsp_model.sh
2019-07-19 Eddie HungAdd tests for all combinations of A and B signedness...
2019-07-19 Eddie HungDon't copy ref if exists already
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Clifford WolfBugfix in ice40_dsp
2019-02-20 Clifford WolfAdd ice40 test_dsp_map test case generator
2019-02-20 Clifford WolfImprove iCE40 SB_MAC16 model
2019-02-19 Clifford WolfAdd first draft of functional SB_MAC16 model
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-04-26 Clifford WolfInitialization support for all iCE40 bram modes
2015-04-25 Clifford Wolfinitialized iCE40 brams (mode 0)
2015-04-25 Clifford WolfMore iCE40 bram improvements
2015-04-24 Clifford WolfiCE40 bram progress
2015-04-24 Clifford WolfiCE40 bram tests and fixes
2015-04-18 Clifford Wolfadded sync reset to ice40 test_ffs.sh
2015-04-18 Clifford WolfAdded ice40 test_arith
2015-04-16 Clifford WolfAdded simple ice40 dff tests