Merge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
[yosys.git] / techlibs / ice40 /
2019-04-21 Luke Wrenice40 cells_sim.v: SB_IO: update clock enable behaviour...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Eddie HungABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
2019-04-19 Eddie HungMake SB_DFF whitebox
2019-04-19 Eddie HungFix SB_DFF comb model
2019-04-19 Eddie HungMissing close bracket
2019-04-19 Eddie HungAnnotate SB_DFF* with abc_flop and abc_box_id
2019-04-19 Eddie HungAdd SB_DFF* to boxes
2019-04-18 Eddie HungUse new -wb flag for ABC flow
2019-04-18 Eddie HungAlso update Makefile.inc
2019-04-18 Eddie HungMake SB_LUT4 a blackbox
2019-04-18 Eddie HungFix rename
2019-04-18 Eddie HungRename to abc_*.{box,lut}
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-18 Eddie HungRevert "synth_* with -retime option now calls abc with...
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-17 Eddie HungUpdate Makefile.inc too
2019-04-17 Eddie HungReduce to three devices: hx, lp, u
2019-04-17 Eddie HungAdd up5k timings
2019-04-17 Eddie HungUpdate error message
2019-04-17 Eddie HungAdd "-device" argument to synth_ice40
2019-04-17 Eddie HungMissing abc_flop_q attribute on SPRAM
2019-04-17 Eddie HungMap to SB_LUT4 from fastest input first
2019-04-17 Eddie HungMark seq output ports with "abc_flop_q" attr
2019-04-17 Eddie HungAlso update Makefile.inc
2019-04-17 Eddie Hungsynth_ice40 to use renamed files
2019-04-17 Eddie HungRename to abc.*
2019-04-17 Eddie HungRevert "Try using an ICE40_CARRY_LUT primitive to avoid...
2019-04-17 Eddie HungTry using an ICE40_CARRY_LUT primitive to avoid ABC...
2019-04-17 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-17 Eddie HungFix spacing
2019-04-17 Eddie HungAdd SB_LUT4 to box library
2019-04-16 Eddie HungAdd ice40 box files
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-11 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-11 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-10 Eddie Hungsynth_* with -retime option now calls abc with -D 1...
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfRemove ice40/cells_sim.v hack to avoid warning for...
2019-03-09 Clifford WolfFix typo in ice40_braminit help msg
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-07 Sylvain Munautice40: Run ice40_braminit pass by default
2019-03-07 Sylvain Munautice40: Add ice40_braminit pass to allow initialization...
2019-03-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-03-01 Elmsice40: use 2 bits for READ/WRITE MODE for SB_RAM map
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Eddie HungMerge branch 'clifford/dffsrfix' of https://github...
2019-02-21 Clifford WolfBugfix in ice40_dsp
2019-02-20 Clifford WolfAdd ice40 test_dsp_map test case generator
2019-02-20 Clifford WolfAdd "synth_ice40 -dsp"
2019-02-20 Clifford WolfImprove iCE40 SB_MAC16 model
2019-02-19 Eddie HungMerge branch 'master' into xaig
2019-02-19 Clifford WolfAdd first draft of functional SB_MAC16 model
2019-02-14 Eddie Hungsynth_ice40 to have new -abc9 arg
2019-02-11 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-06 David Shahice40: Use abc -dress in synth_ice40
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2019-01-02 whitequarksynth_ice40: use 4-LUT coarse synthesis mode.
2018-12-18 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfRename "fine:" label to "map:" in "synth_ice40"
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #734 from grahamedgecombe/fix-shuffl...
2018-12-16 Clifford WolfMerge pull request #730 from smunaut/ffssr_dont_touch
2018-12-16 Clifford WolfMerge pull request #729 from whitequark/write_verilog_i...
2018-12-16 Clifford WolfMerge pull request #725 from olofk/ram4k-init
next