Fix spacing
[yosys.git] / techlibs / ice40 /
2019-07-25 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-25 Clifford WolfMerge branch 'ZirconiumX-synth_intel_m9k'
2019-07-25 Eddie HungMerge pull request #1224 from YosysHQ/xilinx_fix_ff
2019-07-23 Eddie HungMerge pull request #1212 from YosysHQ/eddie/signed_ice4...
2019-07-19 David Shahice40: Fix test_dsp_model.sh
2019-07-19 David Shahice40/cells_sim.v: Fix sign of J and K partial products
2019-07-19 David Shahice40/cells_sim.v: LSB of A/B only signed in 8x8 mode
2019-07-19 Eddie HungAdd tests for all combinations of A and B signedness...
2019-07-19 Eddie HungDon't copy ref if exists already
2019-07-18 Clifford WolfMerge pull request #1184 from whitequark/synth-better...
2019-07-18 Clifford WolfMerge pull request #1203 from whitequark/write_verilog...
2019-07-17 David ShahMerge pull request #1204 from smunaut/fix_1187
2019-07-16 Sylvain Munautice40: Adapt the relut process passes to the new $lut...
2019-07-16 whitequarksynth_{ice40,ecp5}: more sensible pass label naming.
2019-07-16 Eddie HungMerge pull request #1188 from YosysHQ/eddie/abc9_push_i...
2019-07-16 Eddie HungMerge pull request #1186 from YosysHQ/eddie/abc9_ice40_fix
2019-07-15 Eddie Hung$__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per ...
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-14 Eddie HungMerge pull request #1194 from cr1901/miss-semi
2019-07-13 Eddie Hungice40_opt to $__ICE40_CARRY_LUT4 into $lut not SB_LUT
2019-07-12 Clifford WolfMerge pull request #1183 from whitequark/ice40-always...
2019-07-12 Eddie HungUse Const::from_string() not its constructor...
2019-07-12 Eddie HungOff by one
2019-07-12 Eddie HungFix spacing
2019-07-12 Eddie HungRemove double push
2019-07-12 Eddie HungMap to and from this box if -abc9
2019-07-12 Eddie Hungice40_opt to handle this box and opt back to SB_LUT4
2019-07-12 Eddie HungAdd new box to cells_sim.v
2019-07-12 Eddie Hung_ABC macro will map and unmap to this new box
2019-07-12 Eddie HungCombine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 box
2019-07-11 whitequarksynth_ice40: switch -relut to be always on.
2019-07-11 whitequarksynth_ice40: fix help text typo. NFC.
2019-07-11 Clifford WolfMerge pull request #1172 from whitequark/write_verilog...
2019-07-11 Clifford WolfMerge pull request #1179 from whitequark/attrmap-proc
2019-07-10 Eddie HungMerge pull request #1180 from YosysHQ/eddie/no_abc9_retime
2019-07-10 Eddie HungError out if -abc9 and -retime specified
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Eddie HungUpdate synth_ice40 -device doc to be relevant for ...
2019-06-27 Eddie HungExtraneous newline
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
2019-06-26 David Shahabc9: Add wire delays to synth_ice40
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-24 Eddie HungMerge remote-tracking branch 'origin/eddie/ram32x1d...
2019-06-23 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungFix and cleanup ice40 boxes for carry in/out
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1091...
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1118...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-20 Clifford WolfMerge branch 'master' of https://github.com/bogdanvuk...
2019-06-20 Clifford WolfMerge branch 'unpacked_arrays' of https://github.com...
2019-06-19 Eddie HungMerge pull request #1111 from acw1251/help_summary_fixes
2019-06-19 acw1251Fixed small typo in ice40_unlut help summary
2019-06-19 acw1251Fixed the help summary line for a few commands
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-14 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-14 Eddie HungResolve comments from @daveshah1
2019-06-14 Eddie HungRemove WIP ABC9 flop support
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungMake doc consistent
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Eddie HungFix LP SB_LUT4 timing
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRemove abc_flop{,_d} attributes from ice40/cells_sim.v
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-10 David ShahMerge pull request #1082 from corecode/u4k
next