projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
Add (* abc_flop_q *) to brams_bb.v
[yosys.git]
/
techlibs
/
ice40
/
2019-06-04
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-06-03
Eddie Hung
Make SB_LUT4 a whitebox, SB_DFF a blackbox (for now)
tree
|
commitdiff
2019-06-03
Eddie Hung
Ooopsie
tree
|
commitdiff
2019-06-03
Eddie Hung
Consistent with xilinx
tree
|
commitdiff
2019-05-31
Eddie Hung
Merge branch 'xaig' into xc7mux
tree
|
commitdiff
2019-05-28
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-05-26
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-05-23
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-05-23
Eddie Hung
Merge remote-tracking branch 'origin/eddie/opt_rmdff...
tree
|
commitdiff
2019-05-21
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-05-21
Jim Lawson
Merge remote-tracking branch 'upstream/master'
tree
|
commitdiff
2019-05-15
Clifford Wolf
Merge pull request #1011 from hzeller/fix-constructing...
tree
|
commitdiff
2019-05-15
Clifford Wolf
Merge pull request #1010 from hzeller/yacc-self-contained
tree
|
commitdiff
2019-05-15
Clifford Wolf
Merge pull request #1008 from thasti/fix_libyosys_build
tree
|
commitdiff
2019-05-15
David Shah
Merge pull request #1005 from smunaut/ice40_hfosc_trim
tree
|
commitdiff
2019-05-13
Sylvain Munaut
ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
tree
|
commitdiff
2019-05-06
Clifford Wolf
Merge pull request #871 from YosysHQ/verific_import
tree
|
commitdiff
2019-05-06
Clifford Wolf
Merge branch 'master' of github.com:YosysHQ/yosys into...
tree
|
commitdiff
2019-05-03
Eddie Hung
Merge remote-tracking branch 'origin/master' into cliff...
tree
|
commitdiff
2019-05-03
Clifford Wolf
Merge pull request #969 from YosysHQ/clifford/pmgenstuff
tree
|
commitdiff
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/clifford/pmgenstuf...
tree
|
commitdiff
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-05-02
Eddie Hung
Merge remote-tracking branch 'origin/master' into eddie...
tree
|
commitdiff
2019-05-01
Eddie Hung
Merge branch 'master' of github.com:YosysHQ/yosys
tree
|
commitdiff
2019-04-30
Jim Lawson
Merge remote-tracking branch 'upstream/master'
tree
|
commitdiff
2019-04-30
Clifford Wolf
Merge pull request #972 from YosysHQ/clifford/fix968
tree
|
commitdiff
2019-04-30
Clifford Wolf
Merge pull request #966 from YosysHQ/clifford/fix956
tree
|
commitdiff
2019-04-30
Clifford Wolf
Merge pull request #962 from YosysHQ/eddie/refactor_syn...
tree
|
commitdiff
2019-04-30
Clifford Wolf
Merge branch 'master' into eddie/refactor_synth_xilinx
tree
|
commitdiff
2019-04-30
Benedikt Tutzer
Merge branch 'master' of https://github.com/YosysHQ...
tree
|
commitdiff
2019-04-30
Clifford Wolf
Run "peepopt" in generic "synth" pass and "synth_ice40"
tree
|
commitdiff
2019-04-26
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-04-26
Eddie Hung
Cleanup ice40
tree
|
commitdiff
2019-04-26
Eddie Hung
Merge remote-tracking branch 'origin/eddie/split_shiftx...
tree
|
commitdiff
2019-04-26
Eddie Hung
Merge branch 'eddie/split_shiftx' into xc7mux
tree
|
commitdiff
2019-04-23
Eddie Hung
Use nonblocking
tree
|
commitdiff
2019-04-23
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-22
Eddie Hung
Merge branch 'xaig' into xc7mux
tree
|
commitdiff
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/xc7srl' into xc7mux
tree
|
commitdiff
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7srl
tree
|
commitdiff
2019-04-22
Clifford Wolf
Merge pull request #905 from christian-krieg/feature...
tree
|
commitdiff
2019-04-22
Clifford Wolf
Merge pull request #941 from Wren6991/sim_lib_io_clke
tree
|
commitdiff
2019-04-22
Eddie Hung
Merge remote-tracking branch 'origin/clifford/libwb...
tree
|
commitdiff
2019-04-21
Eddie Hung
Convert to use #945
tree
|
commitdiff
2019-04-21
Eddie Hung
Merge remote-tracking branch 'origin/clifford/libwb...
tree
|
commitdiff
2019-04-21
Luke Wren
ice40 cells_sim.v: SB_IO: update clock enable behaviour...
tree
|
commitdiff
2019-04-20
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-20
Eddie Hung
ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
tree
|
commitdiff
2019-04-19
Eddie Hung
Make SB_DFF whitebox
tree
|
commitdiff
2019-04-19
Eddie Hung
Fix SB_DFF comb model
tree
|
commitdiff
2019-04-19
Eddie Hung
Missing close bracket
tree
|
commitdiff
2019-04-19
Eddie Hung
Annotate SB_DFF* with abc_flop and abc_box_id
tree
|
commitdiff
2019-04-19
Eddie Hung
Add SB_DFF* to boxes
tree
|
commitdiff
2019-04-18
Eddie Hung
Use new -wb flag for ABC flow
tree
|
commitdiff
2019-04-18
Eddie Hung
Also update Makefile.inc
tree
|
commitdiff
2019-04-18
Eddie Hung
Make SB_LUT4 a blackbox
tree
|
commitdiff
2019-04-18
Eddie Hung
Fix rename
tree
|
commitdiff
2019-04-18
Eddie Hung
Rename to abc_*.{box,lut}
tree
|
commitdiff
2019-04-18
Eddie Hung
Merge remote-tracking branch 'origin/clifford/whitebox...
tree
|
commitdiff
2019-04-18
Eddie Hung
Revert "synth_* with -retime option now calls abc with...
tree
|
commitdiff
2019-04-18
Eddie Hung
Merge branch 'master' into eddie/fix_retime
tree
|
commitdiff
2019-04-17
Eddie Hung
Update Makefile.inc too
tree
|
commitdiff
2019-04-17
Eddie Hung
Reduce to three devices: hx, lp, u
tree
|
commitdiff
2019-04-17
Eddie Hung
Add up5k timings
tree
|
commitdiff
2019-04-17
Eddie Hung
Update error message
tree
|
commitdiff
2019-04-17
Eddie Hung
Add "-device" argument to synth_ice40
tree
|
commitdiff
2019-04-17
Eddie Hung
Missing abc_flop_q attribute on SPRAM
tree
|
commitdiff
2019-04-17
Eddie Hung
Map to SB_LUT4 from fastest input first
tree
|
commitdiff
2019-04-17
Eddie Hung
Mark seq output ports with "abc_flop_q" attr
tree
|
commitdiff
2019-04-17
Eddie Hung
Also update Makefile.inc
tree
|
commitdiff
2019-04-17
Eddie Hung
synth_ice40 to use renamed files
tree
|
commitdiff
2019-04-17
Eddie Hung
Rename to abc.*
tree
|
commitdiff
2019-04-17
Eddie Hung
Revert "Try using an ICE40_CARRY_LUT primitive to avoid...
tree
|
commitdiff
2019-04-17
Eddie Hung
Try using an ICE40_CARRY_LUT primitive to avoid ABC...
tree
|
commitdiff
2019-04-17
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-17
Eddie Hung
Fix spacing
tree
|
commitdiff
2019-04-17
Eddie Hung
Add SB_LUT4 to box library
tree
|
commitdiff
2019-04-16
Eddie Hung
Add ice40 box files
tree
|
commitdiff
2019-04-16
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-16
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-12
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-12
Eddie Hung
Merge remote-tracking branch 'origin/master' into xaig
tree
|
commitdiff
2019-04-12
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7mux
tree
|
commitdiff
2019-04-11
Eddie Hung
Merge remote-tracking branch 'origin/pmux2shiftx' into...
tree
|
commitdiff
2019-04-11
Eddie Hung
Merge remote-tracking branch 'origin/pmux2shiftx' into...
tree
|
commitdiff
2019-04-10
Eddie Hung
synth_* with -retime option now calls abc with -D 1...
tree
|
commitdiff
2019-04-08
Eddie Hung
Merge branch 'master' into xaig
tree
|
commitdiff
2019-04-01
Jim Lawson
Merge remote-tracking branch 'upstream/master'
tree
|
commitdiff
2019-03-28
Benedikt Tutzer
Merge remote-tracking branch 'origin/master' into featu...
tree
|
commitdiff
2019-03-19
Eddie Hung
Merge https://github.com/YosysHQ/yosys into read_aiger
tree
|
commitdiff
2019-03-14
Eddie Hung
Merge remote-tracking branch 'origin/master' into xc7srl
tree
|
commitdiff
2019-03-14
Clifford Wolf
Merge pull request #869 from cr1901/win-shell
tree
|
commitdiff
2019-03-13
Clifford Wolf
Merge pull request #868 from YosysHQ/clifford/fixmem
tree
|
commitdiff
2019-03-12
Clifford Wolf
Remove ice40/cells_sim.v hack to avoid warning for...
tree
|
commitdiff
2019-03-09
Clifford Wolf
Fix typo in ice40_braminit help msg
tree
|
commitdiff
2019-03-09
Clifford Wolf
Merge pull request #859 from smunaut/ice40_braminit
tree
|
commitdiff
2019-03-07
Sylvain Munaut
ice40: Run ice40_braminit pass by default
tree
|
commitdiff
2019-03-07
Sylvain Munaut
ice40: Add ice40_braminit pass to allow initialization...
tree
|
commitdiff
2019-03-01
Jim Lawson
Merge remote-tracking branch 'upstream/master'
tree
|
commitdiff
next