install *_nowide.lut files
[yosys.git] / techlibs / xilinx / brams_bb.v
2019-06-14 Eddie HungRemove WIP ABC9 flop support
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-04 Eddie HungAdd (* abc_flop_q *) to brams_bb.v
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-04-06 Clifford WolfAdded Xilinx bram black-box modules