Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / xilinx / ff_map.v
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-04 Eddie HungIS_C_INVERTED
2019-06-01 Eddie HungAdd flops as blackboxes
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-02 Clifford WolfMerge pull request #963 from YosysHQ/eddie/synth_xilinx...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-28 Eddie HungMove neg-pol to pos-pol mapping from ff_map to cells_map.v
2019-04-23 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-21 Eddie HungTidy up, fix for -nosrl
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-18 Eddie HungMerge pull request #917 from YosysHQ/eddie/fix_retime
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-08 Eddie HungMerge branch 'undo_pr895' into xc7srl
2019-04-05 Eddie HungMerge branch 'eddie/fix_retime' into xc7srl
2019-04-05 Eddie HungRetry
2019-04-05 Eddie HungResolve @daveshah1 comment, update synth_xilinx help
2019-04-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-05 Clifford WolfMerge pull request #842 from litghost/merge_upstream
2019-03-01 Keith RothmanChanges required for VPR place and route synth_xilinx.