Add (* abc_flop_q *) to brams_bb.v
[yosys.git] / techlibs / xilinx / mux_map.v
2019-06-04 Eddie HungAdd mux_map.v for wide mux