Fix spacing
[yosys.git] / techlibs / xilinx / synth_xilinx.cc
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1118...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1115...
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-19 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-17 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-17 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-17 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-17 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-17 Eddie HungTry -W 300
2019-06-16 Eddie HungTry -W 300
2019-06-16 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-15 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-14 Eddie HungRevert "Remove wide mux inference"
2019-06-14 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-14 Eddie HungAdd XC7_WIRE_DELAY macro to synth_xilinx.cc
2019-06-14 Eddie HungRename +/xilinx/abc.{box,lut} -> abc_xc7.{box,lut}
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungMake doc consistent
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungReduce diff with master
2019-06-12 Eddie HungFix spacing
2019-06-12 Eddie HungRemove wide mux inference
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-12 Eddie HungRetry "Add "-W' wire delay arg to abc9, use from synth_...
2019-06-12 Eddie HungRevert "Add "-W' wire delay arg to abc9, use from synth...
2019-06-12 Eddie HungAdd "-W' wire delay arg to abc9, use from synth_xilinx
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungRevert "Revert "Move ff_map back after ABC for shregmap""
2019-06-10 Eddie HungRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungComment out muxpack (currently broken)
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-06 Eddie HungFix muxcover and its techmapping
2019-06-06 Eddie HungRun muxpack and muxcover in synth_xilinx
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungCall shregmap -tech xilinx_static
2019-06-05 Eddie HungRevert "Move ff_map back after ABC for shregmap"
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Eddie HungRename shregmap -tech xilinx -> xilinx_dynamic
2019-06-04 Eddie HungAdd space between -D and _ABC
2019-06-04 Eddie HungAdd mux_map.v for wide mux
2019-06-04 Eddie HungMove ff_map back after ABC for shregmap
2019-06-04 Eddie HungRespect -nocarry
2019-06-04 Eddie HungFix pmux2shiftx logic
2019-06-04 Eddie HungMerge mistake
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-04 Eddie HungMerge pull request #1061 from YosysHQ/eddie/techmap_and...
2019-06-04 Eddie HungRemove extra newline
2019-06-04 Eddie HungExecute techmap and arith_map simultaneously
2019-06-01 Eddie HungTechmap flops before ABC again
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-23 Eddie HungAdd whitebox support to DRAM
2019-05-22 Eddie Hungshift register inference before mux
2019-05-21 Eddie HungInstead of MUXCY/XORCY use CARRY4 (with timing)
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-07 Clifford WolfMerge pull request #996 from mdaiter/ceil_log2_opts
2019-05-07 Clifford WolfAdd "synth_xilinx -arch"
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Eddie HungRevert "synth_xilinx to call dffinit with -noreinit"
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-03 Eddie Hungsynth_xilinx to call dffinit with -noreinit
2019-05-02 Eddie HungUse new peepopt from #969
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungRevert to pre-muxcover approach
2019-05-02 Eddie HungMissing help_mode
2019-05-02 Eddie HungFix -nocarry
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-02 Clifford WolfMerge pull request #963 from YosysHQ/eddie/synth_xilinx...
2019-05-02 Eddie HungBack to passing all xc7srl tests!
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
next