Merge remote-tracking branch 'origin/master' into xc7dsp
[yosys.git] / techlibs / xilinx / synth_xilinx.cc
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-28 David ShahMerge pull request #1332 from YosysHQ/dave/ecp5gsr
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-27 Eddie HungMerge pull request #1292 from YosysHQ/mwk/xilinx_bufgmap
2019-08-26 Eddie HungMerge branch 'master' into eddie/xilinx_srl
2019-08-26 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-24 Clifford WolfMerge pull request #1327 from YosysHQ/clifford/pmgen
2019-08-23 Eddie HungAdd undocumented feature
2019-08-23 Eddie Hungxilinx_srl now copes with word-level flops $dff{,e}
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgen...
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-22 Clifford WolfMerge pull request #1289 from mmicko/anlogic_fixes
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-22 Eddie HungAdd variable length support to xilinx_srl
2019-08-21 Eddie Hungabc9 to perform new 'map_ffs' before 'map_luts'
2019-08-21 Eddie HungMerge branch 'eddie/fix_mem2reg' into eddie/xilinx_srl
2019-08-21 Eddie HungAdd init support
2019-08-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-20 Eddie HungMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-16 Eddie HungMerge pull request #1250 from bwidawsk/master
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-16 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-15 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-15 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-13 Marcin Kościelnickiminor review fixes
2019-08-13 Eddie HungAdd DSP_A_MAXWIDTH_PARTIAL, refactor
2019-08-13 David Shahxilinx: Rework labels for faster Verilator testing
2019-08-13 Marcin Kościelnickireview fixes
2019-08-12 Marcin KościelnickiAdd clock buffer insertion pass, improve iopadmap.
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-12 Serge BazanskiMerge pull request #1152 from 1138-4EB/feat-docker
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-09 Eddie HungPack partial-product adder DSP48E1 packing
2019-08-08 Eddie HungCombine techmap calls
2019-08-08 Eddie HungMove xilinx_dsp to before alumacc
2019-08-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-07 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-07 Eddie Hungstoi -> atoi
2019-08-01 Eddie HungChange $__softmul back to $mul
2019-08-01 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/wreduce_add...
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/wreduce_add...
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/eddie/signed_ice40...
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/master' into ice40dsp
2019-07-19 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-18 Eddie HungMerge remote-tracking branch 'origin/master' into ice40dsp
2019-07-18 Eddie HungWork in progress for renaming labels/options in synth_x...
2019-07-18 Eddie HungUse single DSP_SIGNEDONLY macro
2019-07-18 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-07-17 Eddie HungUpdate comment
2019-07-16 Eddie HungRevert drop down to 24x16 multipliers for all
2019-07-16 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-07-16 David Shahxilinx: Treat DSP48E1 as 24x17 unsigned for now (actual...
2019-07-15 Eddie HungOops forgot these files
2019-07-15 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-14 Eddie HungMerge pull request #1194 from cr1901/miss-semi
2019-07-12 Clifford WolfMerge pull request #1183 from whitequark/ice40-always...
2019-07-11 Eddie HungMerge pull request #1182 from koriakin/xc6s-bram
2019-07-11 Marcin Kościelnickisynth_xilinx: Initial Spartan 6 block RAM inference...
2019-07-11 Clifford WolfMerge pull request #1172 from whitequark/write_verilog...
2019-07-11 Clifford WolfMerge pull request #1179 from whitequark/attrmap-proc
2019-07-10 Eddie HungMove dsp_map.v into cells_map.v; cleanup synth_xilinx...
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-10 Eddie HungMerge pull request #1180 from YosysHQ/eddie/no_abc9_retime
2019-07-10 Eddie HungMerge pull request #1148 from YosysHQ/xc7mux
2019-07-10 Eddie HungError out if -abc9 and -retime specified
2019-07-10 Eddie HungCall muxpack and pmux2shiftx before cmp2lut
2019-07-09 Eddie HungRestore opt_clean back to original place
2019-07-09 Eddie HungRestore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1173...
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-09 Eddie Hungsynth_xilinx to call commands of synth -coarse directly
2019-07-09 Eddie HungRevert "synth_xilinx to call "synth -run coarse" with...
2019-07-09 Eddie HungFix spacing
2019-07-09 Eddie HungFix spacing
2019-07-09 Eddie HungDo not call opt -mux_undef (part of -full) before muxcover
2019-07-09 Eddie Hungsynth_xilinx to call "synth -run coarse" with "-keepdc"
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/eddie/synth_keepdc...
2019-07-09 Eddie HungCapitalisation
2019-07-09 Eddie HungAdd synth_xilinx -widemux recommended value
next