synth_gatemate: Add block RAM cascade support
[yosys.git] / techlibs / xilinx /
2021-11-10 Claire XenMerge pull request #3077 from YosysHQ/claire/genlib
2021-11-09 Marcelina Kościelnickaiopadmap: Add native support for negative-polarity...
2021-07-30 Maciej DudekFixes xc7 BRAM36s
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-07 Claire Xenia WolfFixing old e-mail addresses and deadnames
2021-04-27 Miodrag MilanovićMerge pull request #2738 from mdko/xilinx-blif
2021-04-27 Michael ChristensenFix use of blif name in synth_xilinx command
2021-03-17 gatecatBlackbox all whiteboxes after synthesis
2021-03-01 Claire XenMerge pull request #2523 from tomverbeure/define_synthesis
2021-03-01 Claire XenMerge pull request #2524 from bkbncn/patch-1
2021-02-25 whitequarkMerge pull request #2554 from hzeller/master
2021-02-12 gatecatMerge pull request #2585 from YosysHQ/dave/nexus-dotproduct
2021-02-04 whitequarkMerge pull request #2529 from zachjs/unnamed-genblk
2021-02-03 whitequarkMerge pull request #2436 from dalance/fix_generate
2021-01-31 Zachary Snowverilog: significant block scoping improvements
2021-01-29 whitequarkMerge pull request #2564 from whitequark/flatten-improv...
2021-01-28 Claire XenMerge pull request #2535 from Ravenslofty/scc-specify
2021-01-26 Marcelina Kościelnickaxilinx_dffopt: Don't crash on missing IS_*_INVERTED.
2021-01-26 Marcelina Kościelnickaxilinx: Add FDRSE_1, FDCPE_1.
2021-01-01 whitequarkMerge pull request #2480 from YosysHQ/dave/nexus-lram
2020-12-23 whitequarkMerge pull request #2476 from zachjs/const-arg-width
2020-12-22 whitequarkMerge pull request #2497 from whitequark/cxxrtl-reflow
2020-12-22 whitequarkMerge pull request #2479 from zachjs/const-arg-hint
2020-12-22 whitequarkMerge pull request #2491 from zachjs/port-bind-sign
2020-12-21 Marcelina Kościelnickaxilinx: Add some missing blackbox cells.
2020-12-21 Marcelina Kościelnickaxilinx: Regenerate cells_xtra.v using Vivado 2020.2
2020-12-19 whitequarkMerge pull request #2487 from whitequark/cxxrtl-outlining
2020-12-17 Marcelina Kościelnickaxilinx: Add FDDRCPE and FDDRRSE blackbox cells.
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-10-22 N. EngelhardtMerge pull request #2403 from nakengelhardt/sim_timescale
2020-10-20 clairexenMerge pull request #2405 from byuccl/fix_xilinx_cells
2020-10-19 Jeff GoedersMove signal declarations to before first use
2020-10-01 clairexenMerge pull request #2378 from udif/pr_dollar_high_low
2020-10-01 clairexenMerge pull request #2380 from Xiretza/parallel-tests
2020-09-23 Eddie Hung xilinx: do not make DSP48E1 a whitebox for ABC9 by...
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2327 from YosysHQ/mwk/techmap-const...
2020-08-20 clairexenMerge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
2020-08-20 clairexenMerge pull request #2319 from YosysHQ/mwk/techmap-cellt...
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 clairexenMerge pull request #2317 from zachjs/expand-genblock
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-08-07 Marcelina KościelnickaReplace opt_rmdff with opt_dff.
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
2020-07-23 clairexenMerge pull request #2285 from YosysHQ/mwk/techmap-cellname
2020-07-23 clairexenMerge pull request #2294 from Ravenslofty/intel_alm_timings
2020-07-22 Keith RothmanRemove EXPLICIT_CARRY logic.
2020-07-16 clairexenMerge pull request #2229 from Ravenslofty/sf2_remove_sf...
2020-07-16 Miodrag MilanovićMerge pull request #2238 from YosysHQ/mwk/dfflegalize...
2020-07-16 Miodrag MilanovićMerge pull request #2226 from YosysHQ/mwk/nuke-efinix...
2020-07-15 clairexenMerge pull request #2257 from antmicro/fix-conflicts
2020-07-12 Marcelina Kościelnickaxilinx: Fix srl regression.
2020-07-09 Marcelina Kościelnickaxilinx: Use dfflegalize.
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-07-01 clairexenMerge pull request #2179 from splhack/static-cast
2020-06-25 clairexenMerge pull request #2168 from whitequark/assert-unused...
2020-06-25 clairexenMerge pull request #2135 from boqwxp/qbfsat-timeinfo
2020-06-25 clairexenMerge pull request #2093 from boqwxp/qbfsat-bugfixes
2020-06-23 clairexenMerge pull request #1818 from YosysHQ/mwk/new-ff-types
2020-06-23 Marcelina KościelnickaUpdate dff2dffe, dff2dffs, zinit to new FF types.
2020-06-19 whitequarkMerge pull request #2173 from whitequark/use-cxx11...
2020-06-18 whitequarkUse C++11 final/override keywords.
2020-06-04 Eddie HungMerge pull request #2077 from YosysHQ/eddie/abc9_dff_im...
2020-06-04 whitequarkMerge pull request #2006 from jersey99/signed-in-rtlil...
2020-06-04 N. EngelhardtMerge pull request #2070 from hackfin/master
2020-06-03 Peter CrozierMerge branch 'master' into struct
2020-06-03 Eddie HungMerge pull request #2080 from YosysHQ/eddie/fix_test_wa...
2020-05-31 clairexenMerge pull request #1862 from boqwxp/cleanup_techmap
2020-05-30 Eddie HungMerge pull request #2081 from YosysHQ/eddie/blackbox_ast
2020-05-30 clairexenMerge pull request #2018 from boqwxp/qbfsat-timeout
2020-05-29 clairexenMerge pull request #2029 from whitequark/fix-simplify...
2020-05-29 clairexenMerge pull request #2017 from boqwxp/qbfsat-cvc4
2020-05-29 clairexenMerge pull request #2016 from boqwxp/qbfsat-yices
2020-05-29 whitequarkMerge pull request #2033 from boqwxp/cleanup-verilog...
2020-05-28 whitequarkMerge pull request #2091 from boqwxp/printattrs
2020-05-28 whitequarkMerge pull request #2051 from Xiretza/makefile-cd-warning
2020-05-28 whitequarkMerge pull request #2031 from epfl-vlsc/master
2020-05-28 whitequarkMerge pull request #2063 from boqwxp/techmapped-firrtl
2020-05-26 whitequarkMerge pull request #2090 from whitequark/cxxrtl-fixes
2020-05-25 Eddie HungMerge pull request #2078 from YosysHQ/eddie/xilinx_sim_tidy
2020-05-25 Eddie Hungxilinx: tidy up cells_sim.v a little
2020-05-25 clairexenMerge pull request #2015 from boqwxp/qbfsat-bisection
2020-05-21 Eddie HungMerge pull request #2057 from YosysHQ/eddie/fix_task_attr
2020-05-21 Miodrag MilanovićMerge pull request #2059 from boqwxp/logger-vector...
2020-05-20 N. EngelhardtMerge pull request #2046 from PeterCrozier/trap
2020-05-20 N. EngelhardtMerge pull request #2054 from boqwxp/fix-smtbmc
2020-05-18 Marcelina KościelnickaAdd force_downto and force_upto wire attributes.
2020-05-18 Eddie HungMerge pull request #1926 from YosysHQ/eddie/abc9_auto_dff
2020-05-14 Eddie HungMerge pull request #1994 from YosysHQ/eddie/fix_bug1758
2020-05-14 Eddie Hungxilinx: gate specify/attributes from iverilog
2020-05-14 Eddie Hungxilinx/ice40/ecp5: zinit requires selected wires, so...
2020-05-14 Eddie Hungxilinx/ecp5/ice40: add (* abc9_flop *) to bypass-able...
2020-05-14 Eddie Hungabc9_ops: add -prep_bypass for auto bypass boxes; refactor
2020-05-14 Eddie Hungsynth_*: no need to explicitly read +/abc9_model.v
2020-05-14 Eddie Hungabc9_ops: -prep_dff_map to error if async flop found
next