Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux
[yosys.git] / techlibs / xilinx /
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungUpdate abc attributes on FD*E_1
2019-06-05 Eddie HungCleanup
2019-06-05 Eddie HungCall shregmap -tech xilinx_static
2019-06-05 Eddie HungRevert "Move ff_map back after ABC for shregmap"
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Eddie HungRename shregmap -tech xilinx -> xilinx_dynamic
2019-06-04 Eddie HungAdd space between -D and _ABC
2019-06-04 Eddie HungAdd (* abc_flop_q *) to brams_bb.v
2019-06-04 Eddie HungFix name clash
2019-06-04 Eddie HungAdd mux_map.v for wide mux
2019-06-04 Eddie HungMove ff_map back after ABC for shregmap
2019-06-04 Eddie HungRespect -nocarry
2019-06-04 Eddie HungFix pmux2shiftx logic
2019-06-04 Eddie HungMerge mistake
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-04 Eddie HungMerge pull request #1061 from YosysHQ/eddie/techmap_and...
2019-06-04 Eddie HungTypo
2019-06-04 Eddie HungRemove extra newline
2019-06-04 Eddie HungIS_C_INVERTED
2019-06-04 Eddie HungExecute techmap and arith_map simultaneously
2019-06-03 Eddie HungFix `ifndef
2019-06-01 Eddie HungAdd flops as blackboxes
2019-06-01 Eddie HungAdd FD*E_1 -> FD*E techmap rules
2019-06-01 Eddie HungTechmap flops before ABC again
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-30 Eddie HungRemove whitebox attribute from DRAMs for now
2019-05-30 Eddie HungCarry in/out to be the last input/output for chains...
2019-05-30 Eddie HungSome more realistic delays...
2019-05-28 Eddie HungTypo
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-28 Eddie HungMake MUXF{7,8} and CARRY4 whitebox
2019-05-28 Eddie HungRe-enable lib_whitebox
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-26 Eddie HungBlackboxes
2019-05-26 Eddie HungMuck about with LUT delays some more
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-25 Eddie HungTry new LUT delays
2019-05-24 Eddie HungTranspose CARRY4 delays
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-23 Eddie HungMerge pull request #1036 from YosysHQ/eddie/xilinx_dram
2019-05-23 Eddie HungAdd "min bits" and "min wports" to xilinx dram rules
2019-05-23 Eddie HungAdd whitebox support to DRAM
2019-05-22 Eddie Hungshift register inference before mux
2019-05-22 Eddie HungFix/workaround symptom unveiled by #1023
2019-05-21 Eddie HungInstead of MUXCY/XORCY use CARRY4 (with timing)
2019-05-21 Eddie HungModify LUT area cost to be same as old abc
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-07 Clifford WolfMerge pull request #996 from mdaiter/ceil_log2_opts
2019-05-07 Clifford WolfAdd "synth_xilinx -arch"
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Eddie HungRename cells_map.v to prevent clash with ff_map.v
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Eddie HungRevert "synth_xilinx to call dffinit with -noreinit"
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-03 Eddie Hungsynth_xilinx to call dffinit with -noreinit
2019-05-02 Eddie HungTrim off leading 1'bx in A
2019-05-02 Eddie HungAdd don't care optimisation
2019-05-02 Eddie HungUse new peepopt from #969
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungRevert to pre-muxcover approach
2019-05-02 Eddie HungMissing help_mode
2019-05-02 Eddie HungFix -nocarry
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-02 Clifford WolfMerge pull request #963 from YosysHQ/eddie/synth_xilinx...
2019-05-02 Eddie HungBack to passing all xc7srl tests!
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Clifford WolfMerge pull request #972 from YosysHQ/clifford/fix968
2019-04-30 Clifford WolfMerge pull request #966 from YosysHQ/clifford/fix956
2019-04-30 Clifford WolfMerge pull request #962 from YosysHQ/eddie/refactor_syn...
2019-04-30 Clifford WolfMerge branch 'master' into eddie/refactor_synth_xilinx
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-29 Clifford WolfMerge pull request #960 from YosysHQ/eddie/equiv_opt_undef
2019-04-28 Eddie HungWIP
2019-04-28 Eddie HungMove neg-pol to pos-pol mapping from ff_map to cells_map.v
2019-04-27 Eddie HungFix spacing
2019-04-26 Eddie HungRevert synth_xilinx 'fine' label more to how it used...
2019-04-26 Eddie HungApparently, this reduces number of MUXCY/XORCY
2019-04-26 Eddie HungTry a different approach with 'muxcover'
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-26 Eddie HungWhere did this check come from!?!
next