Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux
[yosys.git] / techlibs / xilinx /
2019-04-26 Eddie HungRemove split_shiftx call
2019-04-26 Eddie HungMissing newline
2019-04-26 Eddie HungRefactor synth_xilinx to auto-generate doc
2019-04-26 Eddie HungCleanup superseded
2019-04-26 Eddie Hungbitblast_shiftx -> split_shiftx
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-26 Eddie Hungsynth_xilinx to call bitblast_shiftx
2019-04-24 Eddie HungAdd -nocarry option to synth_xilinx
2019-04-23 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-23 Eddie HungTweak
2019-04-23 Eddie HungFix for A_WIDTH == 2 but B_WIDTH==3
2019-04-23 Eddie HungTrim A_WIDTH by Y_WIDTH-1
2019-04-22 Eddie HungAdd comment
2019-04-22 Eddie HungFix for mux_case_* mappings
2019-04-22 Eddie HungFix for non-pow2 width muxes
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Eddie HungAdd synth_xilinx -nomux option
2019-04-22 Eddie HungCleanup, call pmux2shiftx even without -nosrl
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungUpdate help message
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMove 'shregmap -tech xilinx' into map_cells
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfRe-added clean after techmap in synth_xilinx
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungTidy up, fix for -nosrl
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'map_cells_before_map_luts' into xc7srl
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungAdd comments
2019-04-21 Eddie HungUse new pmux2shiftx from #944, remove my old attempt
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin' into xc7srl
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-18 Eddie HungMerge pull request #917 from YosysHQ/eddie/fix_retime
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-18 Eddie HungRevert "synth_* with -retime option now calls abc with...
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-17 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-16 Eddie HungAdd MUXCY and XORCY to cells_box.v
2019-04-16 Eddie HungFix spacing
2019-04-16 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-16 Eddie HungMake cells.box whiteboxes not blackboxes
2019-04-16 Eddie Hungread_verilog cells_box.v before techmap
2019-04-16 Eddie Hungsynth_xilinx: before abc read +/xilinx/cells_box.v
2019-04-16 Eddie HungAdd +/xilinx/cells_box.v containing models for ABC...
2019-04-16 Eddie HungRevert "Add abc_box_id attribute to MUXF7/F8 cells"
2019-04-16 Eddie HungAdd abc_box_id attribute to MUXF7/F8 cells
2019-04-16 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-13 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-12 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungAdd support for synth_xilinx -abc9 and ignore abc9...
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungMerge pull request #928 from litghost/add_xc7_sim_models
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-12 Keith RothmanRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
2019-04-11 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-11 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-11 Eddie HungFix cells_map.v some more
2019-04-11 Eddie HungMore fine tuning
2019-04-11 Eddie HungFix cells_map.v
2019-04-11 Eddie HungFix typo
2019-04-11 Eddie HungJuggle opt calls in synth_xilinx
2019-04-11 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-11 Eddie HungWIP for cells_map.v -- maybe working?
2019-04-10 Eddie HungTry splitting $shiftx with Y_WIDTH > 1 into Y_WIDTH = 1
2019-04-10 Eddie HungFix for when B_SIGNED = 1
2019-04-10 Eddie HungUpdate doc for synth_xilinx
2019-04-10 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-10 Eddie Hungff_map.v after abc
2019-04-10 Eddie HungTidy up
2019-04-10 Eddie HungMove map_cells to before map_luts
2019-04-10 Eddie HungWIP for $shiftx to wide mux
2019-04-10 Eddie HungUpdate LUT delays
2019-04-10 Eddie Hungsynth_* with -retime option now calls abc with -D 1...
2019-04-10 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-04-09 Eddie HungAdd cells.lut to techlibs/xilinx/
2019-04-09 Eddie Hungsynth_xilinx to call abc with -lut +/xilinx/cells.lut
2019-04-09 Eddie HungAdd delays to cells.box
2019-04-09 Keith RothmanFix LUT6_2 definition.
2019-04-09 Eddie Hungsynth_xilinx with abc9 to use -box
2019-04-09 Eddie HungAdd techlibs/xilinx/cells.box
2019-04-09 Eddie HungAdd support for synth_xilinx -abc9 and ignore abc9...
2019-04-09 Keith RothmanAdd additional cells sim models for core 7-series prima...
next