Remove peepopt call in synth_xilinx since already in synth -run coarse
[yosys.git] / techlibs / xilinx /
2019-06-28 Eddie HungRemove peepopt call in synth_xilinx since already in...
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-27 Eddie HungReduce diff with upstream
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungRemove redundant doc
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungAdd warning if synth_xilinx -abc9 with family != xc7
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-27 Eddie HungUpdate comment on boxes
2019-06-27 Eddie HungAdd "WE" to dist RAM's abc_scc_break
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungGrrr
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Miodrag MilanovicSimulation model verilog fix
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Eddie HungUse LUT delays for dist RAM delays
2019-06-25 Eddie HungAdd Xilinx dist RAM as comb boxes
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-24 Eddie HungAdd RAM32X1D support
2019-06-22 Eddie HungAdd comment to xc7 box
2019-06-22 Eddie HungCarry in/out box ordering now move to end, not swap...
2019-06-22 Eddie HungRemove DFF and RAMD box info for now
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungCall opt_expr -mux_undef to get rid of 1'bx in muxes...
2019-06-18 Eddie HungReally permute Xilinx LUT mappings as default LUT6...
2019-06-18 Eddie HungRevert "Fix (do not) permute LUT inputs, but permute...
2019-06-18 Eddie HungFix (do not) permute LUT inputs, but permute mux selects
2019-06-18 Eddie HungFix copy-pasta issue
2019-06-18 Eddie HungPermute INIT for +/xilinx/lut_map.v
2019-06-18 Eddie HungSimplify comment
2019-06-18 Eddie HungUpdate LUT7/8 delays to take account for [ABC]OUTMUX...
2019-06-17 Eddie HungTry -W 300
2019-06-15 Eddie HungFix upper XC7 LUT[78] delays to use I[01] -> O delay...
2019-06-14 Eddie HungAs per @daveshah1 remove async DFF timing from xilinx
2019-06-14 Eddie HungAdd XC7_WIRE_DELAY macro to synth_xilinx.cc
2019-06-14 Eddie HungUpdate delays based on SymbiFlow/prjxray-db
2019-06-14 Eddie HungRename +/xilinx/abc.{box,lut} -> abc_xc7.{box,lut}
2019-06-14 Eddie HungRemove WIP ABC9 flop support
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungMake doc consistent
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Eddie HungFix name clash
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungMove neg-pol to pos-pol mapping from ff_map to cells_map.v
2019-06-12 Eddie HungReduce diff with master
2019-06-12 Eddie HungFix spacing
2019-06-12 Eddie HungRemove wide mux inference
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-12 Eddie HungRetry "Add "-W' wire delay arg to abc9, use from synth_...
2019-06-12 Eddie HungRevert "Add "-W' wire delay arg to abc9, use from synth...
2019-06-12 Eddie HungAdd "-W' wire delay arg to abc9, use from synth_xilinx
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-11 Eddie HungDisable dist RAM boxes due to comb loop
2019-06-11 Eddie HungRemove #ifndef ABC
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungRevert "Revert "Move ff_map back after ABC for shregmap""
2019-06-10 Eddie HungRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungComment out muxpack (currently broken)
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-06 Eddie Hung$__XILINX_MUX_ -> $__XILINX_SHIFTX
2019-06-06 Eddie HungFix muxcover and its techmapping
2019-06-06 Eddie HungRun muxpack and muxcover in synth_xilinx
2019-06-06 Eddie HungRemove abc_flop attributes for now
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungUpdate abc attributes on FD*E_1
2019-06-05 Eddie HungCleanup
2019-06-05 Eddie HungCall shregmap -tech xilinx_static
2019-06-05 Eddie HungRevert "Move ff_map back after ABC for shregmap"
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
next