frontends/ast: code style
[yosys.git] / techlibs / xilinx /
2019-09-30 whitequarkMerge pull request #1406 from whitequark/connect_rpc
2019-09-30 Eddie HungMerge pull request #1397 from btut/fix/python_wrappers_...
2019-09-30 Miodrag MilanovićMerge pull request #1416 from YosysHQ/mmicko/frontend_b...
2019-09-30 Clifford WolfMerge pull request #1412 from YosysHQ/eddie/equiv_opt_a...
2019-09-30 Eddie HungAdd LDCE/LDPE sim library, remove from *cells_xtra...
2019-09-30 Marcin Kościelnickisynth_xilinx: Support latches, remove used-up FF init...
2019-09-30 Eddie HungMerge pull request #1414 from hzeller/improve-replace...
2019-09-29 Eddie HungMerge pull request #1359 from YosysHQ/xc7dsp
2019-09-29 Clifford WolfMerge pull request #1411 from aman-goel/YosysHQ-master
2019-09-28 Eddie HungFix box name
2019-09-27 Eddie HungRe-order
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-09-27 Clifford WolfMerge pull request #1404 from YosysHQ/fix_gzip_macos
2019-09-26 Eddie HungMissing an '&'
2019-09-26 Eddie HungTypo
2019-09-26 Eddie Hungselect once
2019-09-26 Eddie HungStop trying to be too smart by prematurely optimising
2019-09-25 Eddie HungMerge pull request #1401 from SergeyDegtyar/SergeyDegty...
2019-09-25 Eddie HungCall 'wreduce' after mul2dsp to avoid unextend()
2019-09-25 Eddie HungOops. Actually use __NAME__ in ABC_DSP48E1 macro
2019-09-24 Eddie HungAdd (* techmap_autopurge *) to abc_unmap.v too
2019-09-24 Eddie HungAdd techmap_autopurge to outputs in abc_map.v too
2019-09-24 Eddie HungRevert "Add a xilinx_finalise pass"
2019-09-24 Eddie HungRevert "Remove (* techmap_autopurge *) from abc_unmap...
2019-09-24 Eddie HungRevert "Vivado does not like zero width port connections"
2019-09-24 Eddie HungVivado does not like zero width port connections
2019-09-24 Eddie HungRemove (* techmap_autopurge *) from abc_unmap.v since...
2019-09-24 Eddie HungAdd a xilinx_finalise pass
2019-09-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-20 Eddie HungGrammar
2019-09-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-20 Eddie HungRe-add DSP_A_MINWIDTH, remove unnec. opt_expr -fine...
2019-09-20 Eddie HungTidy up, fix undriven
2019-09-20 Eddie Hung$__ABC_REG to have WIDTH parameter
2019-09-20 Eddie HungFix DSP48E1 timing by breaking P path if MREG or PREG
2019-09-20 Eddie HungRevert "Different approach to timing"
2019-09-20 Eddie HungDifferent approach to timing
2019-09-19 Eddie HungSuppress $anyseq warnings
2019-09-19 Eddie HungUse (* techmap_autopurge *) to suppress techmap warnings
2019-09-19 Eddie HungD is 25 bits not 24 bits wide
2019-09-19 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1381...
2019-09-19 Eddie Hungsynth_xilinx to infer DSPs for Y_WIDTH >= 9 and [AB...
2019-09-19 Marcin KościelnickiUse extractinv for synth_xilinx -ise
2019-09-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-18 Eddie HungFix copy-paste
2019-09-18 Eddie HungMis-spell
2019-09-18 Eddie HungAdd pattern detection support for DSP48E1 model, check...
2019-09-18 Eddie HungMerge pull request #1379 from mmicko/sim_models
2019-09-15 Eddie HungMerge pull request #1374 from YosysHQ/eddie/fix1371
2019-09-15 Marcin Kościelnickixilinx: Make blackbox library family-dependent.
2019-09-14 Eddie HungAdd `undef DSP48E1_INST
2019-09-13 Eddie HungFix D -> P{,COUT} delay
2019-09-13 Eddie HungAdd no MULT no DPORT config
2019-09-13 Eddie HungAdd support for MULT and DPORT
2019-09-13 Eddie HungRefine diagram
2019-09-13 Eddie HungAdd an ASCII drawing
2019-09-13 Eddie HungFinish explanation
2019-09-13 Eddie HungRename to techmap_guard
2019-09-13 Eddie HungInitial DSP48E1 box support
2019-09-13 Eddie HungSet more ports explicitly
2019-09-12 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-11 Eddie HungMissing space
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-11 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-10 Eddie HungMove "(skip if -nodsp)" message to label
2019-09-10 Eddie HungReally get rid of 'opt_expr -fine' by being explicit
2019-09-10 Eddie HungRemove wreduce call
2019-09-10 Eddie HungAdd comment for why opt_expr is necessary
2019-09-10 Eddie HungRevert "Remove "opt_expr -fine" call"
2019-09-10 Eddie HungRename label to map_dsp
2019-09-10 Eddie HungRemove "opt_expr -fine" call
2019-09-10 Eddie HungSet USE_MULT and USE_SIMD
2019-09-07 Marcin Kościelnickisynth_xilinx: Support init values on Spartan 6 flip...
2019-09-07 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-09-05 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-05 Eddie HungMerge pull request #1312 from YosysHQ/xaig_arrival
2019-09-04 Eddie HungResolve TODO with pin assignments for SRL*
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/eddie/peepopt_dffm...
2019-09-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-03 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-09-02 Eddie HungAdd comments
2019-09-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungRemove trailing space
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
2019-08-30 Eddie HungMerge pull request #1310 from SergeyDegtyar/master
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
2019-08-30 Eddie HungMerge branch 'eddie/xilinx_srl' into xaig_arrival
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-30 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 David ShahMerge branch 'master' into xc7dsp
next