Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux
[yosys.git] / techlibs /
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Clifford WolfMerge pull request #972 from YosysHQ/clifford/fix968
2019-04-30 Clifford WolfMerge pull request #966 from YosysHQ/clifford/fix956
2019-04-30 Clifford WolfMerge pull request #962 from YosysHQ/eddie/refactor_syn...
2019-04-30 Clifford WolfMerge branch 'master' into eddie/refactor_synth_xilinx
2019-04-30 Clifford WolfAdd handling of init attributes in "opt_expr -undriven"
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-30 Clifford WolfRun "peepopt" in generic "synth" pass and "synth_ice40"
2019-04-29 Clifford WolfMerge pull request #960 from YosysHQ/eddie/equiv_opt_undef
2019-04-28 Eddie HungWIP
2019-04-28 Eddie HungMove neg-pol to pos-pol mapping from ff_map to cells_map.v
2019-04-27 Eddie HungFix spacing
2019-04-26 Eddie HungRevert synth_xilinx 'fine' label more to how it used...
2019-04-26 Eddie HungApparently, this reduces number of MUXCY/XORCY
2019-04-26 Eddie HungTry a different approach with 'muxcover'
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-26 Eddie HungWhere did this check come from!?!
2019-04-26 Eddie HungRemove split_shiftx call
2019-04-26 Eddie HungMissing newline
2019-04-26 Eddie HungRefactor synth_xilinx to auto-generate doc
2019-04-26 Eddie HungCleanup ice40
2019-04-26 Eddie HungCleanup superseded
2019-04-26 Eddie Hungbitblast_shiftx -> split_shiftx
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-26 Eddie Hungsynth_xilinx to call bitblast_shiftx
2019-04-24 Eddie HungAdd -nocarry option to synth_xilinx
2019-04-23 Clifford WolfImprove $specrule interface
2019-04-23 Eddie HungUse nonblocking
2019-04-23 Clifford WolfImprove $specrule interface
2019-04-23 Clifford WolfAdd $specrule cells for $setup/$hold/$skew specify...
2019-04-23 Clifford WolfRename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better...
2019-04-23 Clifford WolfAdd $specify2 and $specify3 cells to simlib
2019-04-23 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-23 Eddie HungTweak
2019-04-23 Eddie HungFix for A_WIDTH == 2 but B_WIDTH==3
2019-04-23 Eddie HungTrim A_WIDTH by Y_WIDTH-1
2019-04-22 Eddie HungAdd comment
2019-04-22 Eddie HungFix for mux_case_* mappings
2019-04-22 Eddie HungFix for non-pow2 width muxes
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Eddie HungAdd synth_xilinx -nomux option
2019-04-22 Eddie HungCleanup, call pmux2shiftx even without -nosrl
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungUpdate help message
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMove 'shregmap -tech xilinx' into map_cells
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'dh73-master'
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfRe-added clean after techmap in synth_xilinx
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungTidy up, fix for -nosrl
2019-04-21 Eddie HungConvert to use #945
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'map_cells_before_map_luts' into xc7srl
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungAdd comments
2019-04-21 Eddie HungUse new pmux2shiftx from #944, remove my old attempt
2019-04-21 Luke Wrenice40 cells_sim.v: SB_IO: update clock enable behaviour...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin' into xc7srl
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
2019-04-20 Eddie HungABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
2019-04-19 Eddie HungMake SB_DFF whitebox
2019-04-19 Eddie HungFix SB_DFF comb model
2019-04-19 Eddie HungMissing close bracket
2019-04-19 Eddie HungAnnotate SB_DFF* with abc_flop and abc_box_id
2019-04-19 Eddie HungAdd SB_DFF* to boxes
2019-04-18 Eddie HungMerge pull request #917 from YosysHQ/eddie/fix_retime
2019-04-18 Eddie HungUse new -wb flag for ABC flow
2019-04-18 Eddie HungAlso update Makefile.inc
2019-04-18 Eddie HungMake SB_LUT4 a blackbox
2019-04-18 Eddie HungFix rename
2019-04-18 Eddie HungRename to abc_*.{box,lut}
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-18 Eddie HungRevert "synth_* with -retime option now calls abc with...
2019-04-18 Eddie HungMerge branch 'master' into eddie/fix_retime
2019-04-17 Eddie HungUpdate Makefile.inc too
2019-04-17 Eddie HungReduce to three devices: hx, lp, u
2019-04-17 Eddie HungAdd up5k timings
2019-04-17 Eddie HungFix grammar
2019-04-17 Eddie HungUpdate error message
2019-04-17 Eddie HungAdd "-device" argument to synth_ice40
2019-04-17 Eddie HungMissing abc_flop_q attribute on SPRAM
2019-04-17 Eddie HungMap to SB_LUT4 from fastest input first
2019-04-17 Eddie HungMark seq output ports with "abc_flop_q" attr
2019-04-17 Eddie HungAlso update Makefile.inc
next