Add "synth_ice40 -vpr"
[yosys.git] / techlibs /
2017-11-16 Clifford WolfAdd "synth_ice40 -vpr"
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-05 Larry DoolittleClean whitespace and permissions in techlibs/intel
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-10-03 Clifford WolfMerge branch 'fix_shift_reduce_conflict' of https:...
2017-10-03 Clifford WolfMerge branch 'dh73-master'
2017-10-03 Clifford WolfRename "write_verilog -nobasenradix" to "write_verilog...
2017-10-02 dh73Tested and working altsyncarm without init files
2017-10-01 dh73Adding Cyclone IV (E, GX), Arria 10, Cyclone V and...
2017-09-29 Clifford WolfAdd first draft of eASIC back-end
2017-09-29 Clifford WolfFix synth_ice40 doc regarding -top default
2017-09-14 Clifford WolfMerge pull request #412 from azonenberg/reduce-fixes
2017-09-14 Clifford WolfMerge pull request #411 from azonenberg/counter-extract...
2017-09-14 Andrew ZonenbergAdded RESET_TO_MAX parameter to $__COUNT_ cell. Cannot...
2017-09-14 Andrew ZonenbergInitial support for extraction of counters with clock...
2017-09-02 Clifford WolfMerge pull request #406 from azonenberg/coolrunner...
2017-09-02 Clifford WolfMerge pull request #405 from azonenberg/gpak-refactoring
2017-09-01 Robert Oucoolrunner2: Finish fixing special-use p-terms
2017-09-01 Robert Oucoolrunner2: Generate a feed-through AND term when...
2017-09-01 Robert Oucoolrunner2: Initial fixes for special p-terms
2017-09-01 Robert Oucoolrunner2: Fix mapping of flip-flops
2017-09-01 Robert Oucoolrunner2: Combine some for loops together
2017-09-01 Andrew ZonenbergFixed typo in error message
2017-09-01 Andrew ZonenbergAdded blackbox $__COUNT_ cell model
2017-09-01 Andrew ZonenbergRefactoring: moved modules still in cells_sim to cells_...
2017-09-01 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-09-01 Clifford WolfMerge branch 'ChipScan-master'
2017-08-31 Clifford WolfMerge pull request #399 from azonenberg/counter-extraction
2017-08-31 Andrew ZonenbergMerge branch 'counter-extraction' of github.com:azonenb...
2017-08-30 Andrew ZonenbergMerge branch 'master' of https://github.com/cliffordwol...
2017-08-30 Andrew Zonenbergextract_counter: Minor changes requested to comply...
2017-08-30 Jason LowdermilkMerge remote-tracking branch 'upstream/master'
2017-08-30 Clifford WolfMerge pull request #397 from azonenberg/gpak-libfixes
2017-08-29 Andrew ZonenbergFinished refactoring counter extraction to be nice...
2017-08-29 Andrew ZonenbergRefactoring: Renamed greenpak4_counters pass to extract...
2017-08-28 Andrew ZonenbergReformatted GP_COUNTx_ADV resets to avoid Yosys thinkin...
2017-08-28 Clifford WolfMerge branch 'recover-reduce' of https://github.com...
2017-08-28 Clifford WolfMerge pull request #392 from azonenberg/greenpak-portfixes
2017-08-27 Andrew ZonenbergFixed bug causing GP_SPI model to not synthesize
2017-08-18 Clifford WolfMerge branch 'sim'
2017-08-16 Clifford WolfMerge pull request #386 from azonenberg/gpak-counters
2017-08-15 Andrew ZonenbergFixed more issues with GreenPAK counter sim models
2017-08-15 Andrew ZonenbergUpdated PGEN model to have level triggered reset (match...
2017-08-15 Andrew ZonenbergFixed bug in GP_COUNTx model
2017-08-15 Andrew ZonenbergFixed bug where GP_COUNTx_ADV would wrap even when...
2017-08-15 Clifford WolfMerge branch 'rmports' of https://github.com/azonenberg...
2017-08-14 Clifford WolfMerge pull request #381 from azonenberg/countfix
2017-08-14 Clifford WolfMerge pull request #383 from azonenberg/abcfnames
2017-08-14 Clifford WolfMerge pull request #382 from azonenberg/jsoniofix
2017-08-14 Clifford WolfMerge pull request #384 from azonenberg/crtechlib
2017-08-14 Robert Oucoolrunner2: Add INVERT parameter to some BUFGs
2017-08-14 Robert Oucoolrunner2: Add FFs with clock enable to cells_sim.v
2017-08-14 Andrew ZonenbergFixed typo in GP_COUNT8 sim model
2017-08-14 Andrew ZonenbergFixed typo in error message
2017-08-14 Andrew ZonenbergChanged LEVEL resets for GP_COUNTx to be properly synth...
2017-08-14 Andrew ZonenbergChanged LEVEL resets to be edge triggered anyway
2017-08-14 Andrew ZonenbergAdded level-triggered reset support to GP_COUNTx simula...
2017-08-14 Andrew ZonenbergFixed undeclared "count" in GP_COUNT8_ADV
2017-08-14 Andrew ZonenbergFixed undeclared "count" in GP_COUNT14_ADV
2017-08-14 Andrew ZonenbergFixed typo in last commit
2017-08-14 Andrew ZonenbergFinished initial GP_COUNT8/14/8_ADV/14_ADV sim models...
2017-08-14 Andrew ZonenbergFixed typo in COUNT8 model
2017-08-14 Andrew ZonenbergMoved GP_POR out of digital cells b/c it has delays
2017-08-14 Andrew ZonenbergImproved cells_sim_digital model for GP_COUNT8
2017-08-14 Andrew ZonenbergRefactored GreenPAK4 cells_sim into cells_sim_ams and...
2017-07-10 Clifford WolfAdd techlibs/xilinx/lut2lut.v
2017-07-03 Clifford WolfFix some c++ clang compiler errors
2017-07-03 Clifford WolfApply minor coding style changes to coolrunner2 target
2017-07-03 Clifford WolfMerge pull request #352 from rqou/master
2017-06-27 Clifford WolfMerge pull request #353 from azonenberg/master
2017-06-26 Robert Oucoolrunner2: Add a few more primitives
2017-06-26 Robert Oucoolrunner2: Initial mapping of latches
2017-06-26 Robert Oucoolrunner2: Initial mapping of DFFs
2017-06-26 Robert Oucoolrunner2: Remove redundant INVERT_PTC
2017-06-26 Robert Oucoolrunner2: Remove debug prints
2017-06-26 Robert Oucoolrunner2: Correctly handle $_NOT_ after $sop
2017-06-26 Robert Oucoolrunner2: Also construct the XOR cell in the macrocell
2017-06-26 Robert Oucoolrunner2: Initial techmapping for $sop
2017-06-24 Andrew Zonenberggreenpak4_counters: Changed generation of primitive...
2017-06-24 Robert Oucoolrunner2: Initial commit
2017-05-31 Clifford WolfAdd dff2ff.v techmap file
2017-05-23 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-05-23 Clifford WolfMerge pull request #346 from azonenberg/master
2017-05-23 Andrew Zonenberggreenpak4_counters: Added support for parallel output...
2017-05-17 Clifford WolfAdd $_ANDNOT_ and $_ORNOT_ gates
2017-04-12 Larry DoolittleSquelch trailing whitespace
2017-04-07 Clifford WolfMerge pull request #337 from dh73/master
2017-04-06 dh73Add initial support for both MAX10 and Cyclone IV ...
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-25 Clifford WolfAdd $live and $fair cell types, add support for s_event...
2017-02-24 Clifford WolfMerge pull request #322 from azonenberg/master
2017-02-24 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-16 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Clifford WolfFix double-call of log_pop() in synth_greenpak4
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-04 Clifford WolfAdd $cover cell type and SVA cover() support
next