Remove "top" from message
[yosys.git] / techlibs /
2019-07-18 David ShahMerge pull request #1208 from ZirconiumX/intel_cleanups
2019-07-18 Dan Ravensloftsynth_intel: Use stringf
2019-07-18 David ShahMerge pull request #1207 from ZirconiumX/intel_new_pass...
2019-07-18 Dan Ravensloftsynth_intel: s/not family/no family/
2019-07-18 Ben Widawskyintel_synth: Fix help message
2019-07-18 Ben Widawskyintel_synth: Small code cleanup to remove if ladder
2019-07-18 Ben Widawskyintel_synth: Make family explicit and match
2019-07-18 Ben Widawskyintel_synth: Minor code cleanups
2019-07-18 Dan Ravensloftsynth_intel: rename for consistency with #1184
2019-07-18 Clifford WolfMerge pull request #1184 from whitequark/synth-better...
2019-07-18 Clifford WolfMerge pull request #1203 from whitequark/write_verilog...
2019-07-17 David ShahMerge pull request #1204 from smunaut/fix_1187
2019-07-16 Sylvain Munautice40: Adapt the relut process passes to the new $lut...
2019-07-16 Eddie HungMerge pull request #1202 from YosysHQ/cmp2lut_lut6
2019-07-16 whitequarksynth_ecp5: rename dram to lutram everywhere.
2019-07-16 whitequarksynth_{ice40,ecp5}: more sensible pass label naming.
2019-07-16 Eddie Hunggen_lut to return correctly sized LUT mask
2019-07-16 Eddie HungMerge pull request #1188 from YosysHQ/eddie/abc9_push_i...
2019-07-16 Eddie HungMerge pull request #1186 from YosysHQ/eddie/abc9_ice40_fix
2019-07-15 Eddie Hung$__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per ...
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-14 Eddie HungMerge pull request #1194 from cr1901/miss-semi
2019-07-13 Eddie Hungice40_opt to $__ICE40_CARRY_LUT4 into $lut not SB_LUT
2019-07-12 Clifford WolfMerge pull request #1183 from whitequark/ice40-always...
2019-07-12 Eddie HungUse Const::from_string() not its constructor...
2019-07-12 Eddie HungOff by one
2019-07-12 Eddie HungFix spacing
2019-07-12 Eddie HungRemove double push
2019-07-12 Eddie HungMap to and from this box if -abc9
2019-07-12 Eddie Hungice40_opt to handle this box and opt back to SB_LUT4
2019-07-12 Eddie HungAdd new box to cells_sim.v
2019-07-12 Eddie Hung_ABC macro will map and unmap to this new box
2019-07-12 Eddie HungCombine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 box
2019-07-11 whitequarksynth_ice40: switch -relut to be always on.
2019-07-11 whitequarksynth_ice40: fix help text typo. NFC.
2019-07-11 Eddie HungMerge pull request #1182 from koriakin/xc6s-bram
2019-07-11 Eddie HungMerge pull request #1185 from koriakin/xc-ff-init-vals
2019-07-11 Marcin Kościelnickixilinx: Fix the default values for FDPE/FDSE INIT attri...
2019-07-11 Marcin Kościelnickisynth_xilinx: Initial Spartan 6 block RAM inference...
2019-07-11 Clifford WolfMerge pull request #1172 from whitequark/write_verilog...
2019-07-11 Clifford WolfMerge pull request #1179 from whitequark/attrmap-proc
2019-07-10 Eddie HungMerge pull request #1180 from YosysHQ/eddie/no_abc9_retime
2019-07-10 Eddie HungMerge pull request #1148 from YosysHQ/xc7mux
2019-07-10 Eddie HungError out if -abc9 and -retime specified
2019-07-10 Eddie HungAdd some spacing
2019-07-10 Eddie HungAdd some ASCII art explaining mux decomposition
2019-07-10 Clifford WolfMerge pull request #1177 from YosysHQ/clifford/async
2019-07-10 Eddie HungCall muxpack and pmux2shiftx before cmp2lut
2019-07-09 Eddie HungRestore opt_clean back to original place
2019-07-09 Eddie HungRestore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6
2019-07-09 David Shahsynth_ecp5: Fix typo in copyright header
2019-07-09 Clifford WolfMerge pull request #1174 from YosysHQ/eddie/fix1173
2019-07-09 Clifford WolfMerge pull request #1175 from whitequark/write_verilog...
2019-07-09 Eddie HungExtend using A[1] to preserve don't care
2019-07-09 Eddie HungMerge pull request #1171 from YosysHQ/revert-1166-eddie...
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1173...
2019-07-09 Eddie HungExtend during mux decomposition with 1'bx
2019-07-09 Eddie HungFix typo and comments
2019-07-09 Eddie HungMerge pull request #1170 from YosysHQ/eddie/fix_double_...
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-09 Eddie Hungsynth_xilinx to call commands of synth -coarse directly
2019-07-09 Eddie HungRevert "synth_xilinx to call "synth -run coarse" with...
2019-07-09 Eddie HungRevert "Add "synth -keepdc" option"
2019-07-09 Eddie HungFix spacing
2019-07-09 Eddie HungFix spacing
2019-07-09 Clifford WolfMerge pull request #1168 from whitequark/bugpoint-processes
2019-07-09 Clifford WolfMerge pull request #1169 from whitequark/more-proc...
2019-07-09 Clifford WolfMerge pull request #1163 from whitequark/more-case...
2019-07-09 Clifford WolfMerge pull request #1162 from whitequark/rtlil-case...
2019-07-09 Clifford WolfMerge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
2019-07-09 Eddie HungDecompose mux inputs in delay-orientated (rather than...
2019-07-09 Eddie HungDo not call opt -mux_undef (part of -full) before muxcover
2019-07-09 Eddie HungAdd one more comment
2019-07-09 Eddie HungLess thinking
2019-07-09 Eddie HungReword
2019-07-09 Eddie HungMerge pull request #1166 from YosysHQ/eddie/synth_keepdc
2019-07-09 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-09 Eddie Hungsynth_xilinx to call "synth -run coarse" with "-keepdc"
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/eddie/synth_keepdc...
2019-07-09 Eddie HungAdd synth -keepdc option
2019-07-09 Eddie HungMap $__XILINX_SHIFTX in a more balanced manner
2019-07-09 Eddie HungCapitalisation
2019-07-09 Eddie HungAdd synth_xilinx -widemux recommended value
2019-07-08 Eddie HungMerge pull request #1164 from YosysHQ/eddie/muxcover_mux2
2019-07-08 David ShahMerge pull request #1160 from ZirconiumX/cyclone_v
2019-07-08 Eddie HungFixes for 2:1 muxes
2019-07-08 Eddie Hungsynth_xilinx -widemux=2 is minimum now
2019-07-08 Eddie HungParametric muxcover costs as per @daveshah1
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/eddie/muxcover_mux...
2019-07-08 Eddie Hungatoi -> stoi as per @daveshah1
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-07 Dan Ravensloftsynth_intel: Warn about untested Quartus backend
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-02 Eddie HungMerge branch 'eddie/script_from_wire' into eddie/xc7srl...
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/eddie/script_from_...
2019-07-01 Eddie HungFix $__XILINX_MUXF78 box timing
2019-07-01 Eddie HungRevert "Fix broken MUXFx box, use MUXF7x2 box instead"
2019-07-01 Eddie HungFix broken MUXFx box, use MUXF7x2 box instead
2019-07-01 Eddie HungMerge branch 'master' into eddie/script_from_wire
next