Fixed some typos
[yosys.git] / techlibs /
2016-04-02 Clifford WolfMerge pull request #144 from azonenberg/master
2016-04-02 Andrew ZonenbergRemoved more debug prints
2016-04-02 Andrew ZonenbergRemoved forgotten debug code
2016-04-02 Andrew ZonenbergAdded GreenPak inverter support
2016-04-02 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-02 Andrew ZonenbergAdded support for inferring counters with asynchronous...
2016-04-01 Clifford WolfMerge pull request #143 from azonenberg/master
2016-04-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-01 Andrew ZonenbergDFFINIT is now correctly called for all kinds of flipfl...
2016-04-01 Andrew ZonenbergFixed incorrect port name in cells_map.v
2016-03-31 Clifford WolfAdded ScriptPass helper class for script-like passes
2016-03-31 Andrew ZonenbergFixed typo (wasn't written in 2012)
2016-03-31 Clifford WolfRenamed opt_share to opt_merge
2016-03-31 Clifford WolfRenamed opt_const to opt_expr
2016-03-31 Clifford WolfFixed typo in greenpak4_counters.cc
2016-03-31 Clifford WolfMerge pull request #142 from azonenberg/master
2016-03-31 Andrew ZonenbergRenamed counters pass to greenpak4_counters
2016-03-31 Andrew ZonenbergAdded initial implementation of "counters" pass to...
2016-03-31 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-03-31 Andrew ZonenbergUpdated tech lib for greenpak4 counter with some clarif...
2016-03-30 Andrew ZonenbergInitial work on greenpak4 counter extraction. Doesn...
2016-03-30 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-03-30 Andrew ZonenbergAdded splitnets to synth_greenpak4
2016-03-29 Clifford WolfAdded more cell help messages
2016-03-29 Clifford WolfFixed indenting in techlibs/greenpak4/gp_dff.lib
2016-03-29 Clifford WolfMerge pull request #141 from azonenberg/master
2016-03-29 Andrew ZonenbergAdded keep constraint to GP_SYSRESET cell
2016-03-29 Andrew ZonenbergAdded GP_SYSRESET block
2016-03-28 Clifford WolfMerge pull request #137 from ravenexp/master
2016-03-28 Clifford WolfMerge pull request #138 from SebKuzminsky/help-typo
2016-03-28 Clifford WolfMerge pull request #139 from azonenberg/master
2016-03-27 Andrew ZonenbergAdded GP_COUNT8/GP_COUNT14 cells
2016-03-26 Andrew ZonenbergChanged GP_LFOSC parameter configuration
2016-03-26 Andrew ZonenbergAdded GP_LFOSC cell
2016-03-26 Andrew ZonenbergRenamed GP4_V* cells to GP_V* for consistency
2016-03-23 Clifford WolfAdded GP_DFFS, GP_DFFR, and GP_DFFSR
2016-03-23 Clifford WolfAdded GP_DFF INIT parameter
2016-03-21 Clifford WolfImprovements in synth_greenpak4, added -part option
2016-03-19 Clifford WolfAdded black box modules for all the 7-series design...
2016-02-13 Clifford WolfRun dffsr2dff in synth_xilinx
2016-02-07 Clifford WolfWork around DDR dout sim glitches in ice40 SB_IO sim...
2016-02-02 Clifford WolfAdded dffsr2dff
2016-02-01 Clifford WolfProgress in cell library documentation
2016-02-01 Clifford WolfAdded "abc -luts" option, Improved Xilinx logic mapping
2015-12-22 Clifford WolfRe-run ice40_opt in "synth_ice40 -abc2"
2015-12-22 Clifford WolfImprovements in ice40_opt
2015-12-22 Clifford WolfBugfix in ice40_ffinit
2015-12-22 Clifford WolfImproved ice40_ffinit
2015-12-22 Clifford WolfRun opt_const before check in default scripts
2015-12-08 Clifford WolfAdded "synth_ice40 -abc2"
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-12-06 Cotton SeedAdded LO to ICESTORM_LC for LUT cascade route.
2015-11-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-11-26 Clifford WolfAdded ice40_ffinit pass
2015-11-24 Clifford WolfFixed WE/RE usage in iCE40 BRAM mapping
2015-11-06 Clifford WolfFixed iCE40 SB_IO OUTPUT_ENABLE vs. outena_q handling
2015-10-30 Clifford WolfBugfix in Xilinx LUT mapping
2015-10-20 Clifford WolfProgress on cell help messages
2015-10-17 Clifford WolfProgress on cell help messages
2015-10-14 Clifford WolfAdded "prep" command
2015-10-14 Clifford WolfAdded more cell descriptions
2015-10-14 Clifford WolfAdded first help messages for cell types
2015-10-13 Clifford WolfAdded examples/ top-level directory
2015-09-25 Clifford WolfAdded read-enable to memory model
2015-09-18 Clifford WolfAdded nlutmap
2015-09-18 Clifford WolfRenamed GreenPAK4 cells, improved GP4 DFF mapping
2015-09-16 Clifford WolfFixed copy&paste typo in synth_greenpak4
2015-09-16 Clifford WolfAdded GreenPAK4 skeleton
2015-09-10 Clifford WolfFixed ice40 handling of negclk RAM40
2015-08-22 Clifford WolfSwitched to Python 3
2015-08-16 Clifford WolfAnother bugfix for ice40 and xilinx brams_init make...
2015-08-16 Clifford WolfFixed Makefile rules for generated share files
2015-08-16 Clifford WolfAdded $tribuf and $_TBUF_ sim models
2015-08-16 Clifford WolfAdded tribuf command
2015-08-16 Clifford WolfAdded $tribuf and $_TBUF_ cell types
2015-08-14 Larry DoolittleAnother block of spelling fixes
2015-08-13 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-08-12 Clifford WolfAdjust makefiles to work with out-of-tree builds
2015-08-12 Clifford WolfImproved handling of "keep" attributes in hierarchical...
2015-08-06 Clifford WolfMerge pull request #69 from zeldin/master
2015-08-06 Marcus ComstedtAdded iCE40 WARMBOOT cell
2015-07-31 Clifford WolfAdded WORDS parameter to $meminit
2015-07-27 Clifford WolfDon't write a 17th memory bit in ice40/cells_sim (by...
2015-07-20 Clifford WolfiCE40 DFF sim models: init Q regs to 0
2015-07-18 Clifford WolfAvoid tristate warning for blackbox ice40/cells_sim.v
2015-07-06 Clifford WolfImproved liberty file test case
2015-07-02 Clifford WolfAdded "synth -nofsm"
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-20 Clifford WolfiCE40: set min bram efficiency to 2%
2015-06-15 Clifford WolfAdded "synth -nordff -noalumacc"
2015-06-09 Clifford Wolfsynth_ice40 now flattens by default
2015-05-31 Clifford WolfAdded iCE40 PLL cells
2015-05-26 Clifford WolfAdded output args to synth_ice40
2015-05-23 Clifford Wolfimproved ice40 SB_IO sim model
2015-05-23 Clifford WolfAdded ice40 SB_IO sim model
2015-05-17 Clifford WolfVerific build fixes
2015-04-27 Clifford Wolfice40_opt bugfix
2015-04-27 Clifford WolfiCE40: SB_CARRY const fold -> unmap SB_LUT
2015-04-27 Clifford WolfAdded simplemap $lut support
2015-04-27 Clifford WolfAdded iCE40 const folding support for SB_CARRY
next