opt_expr: Add more $alu optimizations.
[yosys.git] / techlibs /
2020-04-14 whitequarkMerge pull request #1879 from jjj11x/jjj11x/package_decl
2020-04-14 whitequarkMerge pull request #1880 from jjj11x/duplicate_enum
2020-04-13 whitequarkMerge pull request #1910 from boqwxp/cleanup_ilang_parser
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-09 whitequarkMerge pull request #1857 from whitequark/splitnets...
2020-04-09 whitequarkMerge pull request #1875 from whitequark/read_ilang...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-03 Eddie Hungcmp2lcu: rename _90_lcu_cmp -> _80_lcu_cmp
2020-04-03 Eddie Hungcmp2lcu: fail if `LUT_WIDTH < 2
2020-04-03 Eddie Hungsynth: only techmap cmp2{lut,lcu} if -lut
2020-04-03 Eddie Hungsynth: use +/cmp2lcu.v in generic 'synth' too
2020-04-03 Eddie HungCleanup +/cmp2lut.v
2020-04-03 Eddie Hungsynth_xilinx: techmap +/cmp2lut.v and +/cmp2lcu.v in...
2020-04-03 Eddie Hung+/cmp2lcu.v to work efficiently for fully/partially...
2020-04-03 Eddie HungRefactor +/cmp2lcu.v into recursive techmap
2020-04-03 Eddie HungCleanup
2020-04-03 Eddie HungCleanup cmp2lcu.v
2020-04-03 Eddie Hungtechmap +/cmp2lcu.v for decomposing arithmetic compares...
2020-04-03 Eddie Hungcmp2lut: comment out unused since 362f4f9
2020-04-03 whitequarkecp5: do not map FFRAM if explicitly requested otherwise.
2020-04-03 whitequarkice40: do not map FFRAM if explicitly requested otherwise.
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-04-02 Marcin Kościelnickisimcells.v: Generate the fine FF cell types by a python...
2020-04-02 Claire WolfMerge pull request #1846 from dh73/ast_fe
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie Hungkernel: use more ID::*
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-04-02 Claire WolfMerge pull request #1770 from YosysHQ/claire/btor_symbols
2020-04-02 Claire WolfMerge pull request #1765 from YosysHQ/claire/btor_info
2020-04-01 Eddie HungMerge pull request #1828 from YosysHQ/eddie/celltypes_s...
2020-04-01 Eddie HungMerge pull request #1790 from YosysHQ/eddie/opt_expr_xor
2020-04-01 Eddie HungMerge pull request #1789 from YosysHQ/eddie/opt_expr_alu
2020-04-01 David ShahMerge pull request #1844 from YosysHQ/dave/gen-source-loc
2020-04-01 Eddie HungMerge pull request #1852 from boqwxp/cleanup_synth_ice40
2020-04-01 Alberto GonzalezFix indentation in `techlibs/ice40/synth_ice40.cc`.
2020-04-01 Claire WolfMerge pull request #1848 from YosysHQ/eddie/fix_dynslice
2020-03-31 Eddie HungMerge pull request #1761 from YosysHQ/eddie/opt_merge_s...
2020-03-30 Eddie HungMerge pull request #1783 from boqwxp/astcc_cleanup
2020-03-30 Eddie HungMerge pull request #1786 from boqwxp/hierarchycc_cleanup
2020-03-27 Claire WolfMerge pull request #1607 from whitequark/simplify-simpl...
2020-03-23 N. EngelhardtMerge pull request #1763 from boqwxp/issue1762
2020-03-23 N. EngelhardtMerge pull request #1803 from Grazfather/typedef
2020-03-23 N. EngelhardtMerge pull request #1785 from boqwxp/mitercc_cleanup
2020-03-21 David ShahMerge pull request #1794 from YosysHQ/dave/mince-abc9-fix
2020-03-20 Eddie HungMerge pull request #1795 from smunaut/fix_abc9_spram
2020-03-20 Sylvain Munautice40: Fix typos in SPRAM ABC9 timing specs
2020-03-20 David Shahice40: Map unmapped 'mince' DFFs to gate level
2020-03-20 Marcin Kościelnickixilinx: Mark IOBUFDS.IOB as external pad
2020-03-19 N. EngelhardtMerge pull request #1774 from boqwxp/exec
2020-03-17 Eddie HungMerge pull request #1769 from boqwxp/select_cleanup
2020-03-16 Claire WolfMerge pull request #1771 from waldyrious/license-update
2020-03-16 N. EngelhardtMerge pull request #1768 from boqwxp/smt2_cleanup
2020-03-16 N. EngelhardtMerge pull request #1746 from boqwxp/optimization
2020-03-15 David ShahMerge pull request #1773 from smunaut/fix_spram_model
2020-03-14 Sylvain Munautice40: Fix SPRAM model to keep data stable if chipselec...
2020-03-14 Miodrag MilanovicFix invalid verilog syntax
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-09 Eddie HungMerge pull request #1747 from YosysHQ/claire/partselfix
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-06 N. Engelhardtremove unused parameters
2020-03-05 Eddie HungMerge pull request #1739 from YosysHQ/eddie/issue1738
2020-03-05 Eddie Hungice40: fix specify for ICE40_{LP,U}
2020-03-04 Eddie Hungice40: fix implicit signal in specify, also clamp negat...
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-04 Eddie Hungxilinx: consider DSP48E1.ADREG
2020-03-04 Eddie Hungxilinx: cleanup DSP48E1 handling for abc9
2020-03-04 Eddie Hungxilinx: improve specify for DSP48E1
2020-03-04 Eddie Hungxilinx: missing DSP48E1.PCIN timing from abc9_{map...
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-03-02 Eddie HungMerge pull request #1724 from YosysHQ/eddie/abc9_specify
2020-03-02 N. EngelhardtMerge pull request #1729 from rqou/coolrunner2
2020-03-02 R. Oucoolrunner2: Attempt to give wires/cells more meaningfu...
2020-03-02 R. Oucoolrunner2: Fix invalid multiple fanouts of XOR/OR...
2020-03-02 R. Oucoolrunner2: Fix packed register+input buffer insertion
2020-03-02 R. Oucoolrunner2: Insert many more required feedthrough...
2020-02-28 Dan RavensloftAdd -flowmap to synth and synth_ice40
2020-02-27 Eddie HungRemove RAMB{18,36}E1 from cells_xtra.py
2020-02-27 Eddie Hungxilinx: Update RAMB* specify entries
2020-02-27 Eddie Hungice40: add delays to SB_CARRY
2020-02-27 Eddie Hungxilinx: add delays to INV
2020-02-27 Eddie HungMore +/ice40/cells_sim.v fixes
2020-02-27 Eddie HungMake +/xilinx/cells_sim.v legal
2020-02-27 Eddie HungGet rid of (* abc9_{arrival,required} *) entirely
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie HungExpand +/xilinx/cells_sim.v to keep ICARUS and non...
2020-02-27 Eddie Hungice40: fix specify for inverted clocks
2020-02-27 Eddie HungFix tests by gating some specify constructs from iverilog
2020-02-27 Eddie Hungabc9_ops: ignore (* abc9_flop *) if not '-dff'
2020-02-27 Eddie Hungice40: specify fixes
2020-02-27 Eddie Hungice40: move over to specify blocks for -abc9
next