projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
nexus: Add make_transp to BRAMs
[yosys.git]
/
techlibs
/
2020-10-22
David Shah
nexus: Add make_transp to BRAMs
tree
|
commitdiff
2020-10-22
N. Engelhardt
Merge pull request #2403 from nakengelhardt/sim_timescale
tree
|
commitdiff
2020-10-20
clairexen
Merge pull request #2405 from byuccl/fix_xilinx_cells
tree
|
commitdiff
2020-10-19
Jeff Goeders
Move signal declarations to before first use
tree
|
commitdiff
2020-10-19
Miodrag Milanović
Merge pull request #2397 from daveshah1/nexus
tree
|
commitdiff
2020-10-15
David Shah
synth_nexus: Initial implementation
tree
|
commitdiff
2020-10-01
clairexen
Merge pull request #2378 from udif/pr_dollar_high_low
tree
|
commitdiff
2020-10-01
clairexen
Merge pull request #2380 from Xiretza/parallel-tests
tree
|
commitdiff
2020-09-23
Eddie Hung
xilinx: do not make DSP48E1 a whitebox for ABC9 by...
tree
|
commitdiff
2020-09-17
clairexen
Merge pull request #2329 from antmicro/arrays-fix-multi...
tree
|
commitdiff
2020-09-17
clairexen
Merge pull request #2330 from antmicro/arrays-fix-multi...
tree
|
commitdiff
2020-09-01
clairexen
Merge pull request #2352 from zachjs/const-func-localparam
tree
|
commitdiff
2020-09-01
clairexen
Merge pull request #2366 from zachjs/library-format
tree
|
commitdiff
2020-09-01
clairexen
Merge pull request #2353 from zachjs/top-scope
tree
|
commitdiff
2020-09-01
clairexen
Merge pull request #2365 from zachjs/const-arg-loop...
tree
|
commitdiff
2020-08-28
Dan Ravensloft
intel_alm: better map wide but shallow multiplies
tree
|
commitdiff
2020-08-27
whitequark
Merge pull request #2357 from whitequark/cxxflags-MP
tree
|
commitdiff
2020-08-27
whitequark
Merge pull request #2356 from whitequark/flatten-techma...
tree
|
commitdiff
2020-08-27
whitequark
Merge pull request #2358 from whitequark/rename-ilang...
tree
|
commitdiff
2020-08-26
Dan Ravensloft
intel_alm: Add multiply signedness to cells
tree
|
commitdiff
2020-08-26
whitequark
Merge pull request #2351 from pbsds/proc_nomux
tree
|
commitdiff
2020-08-22
clairexen
Merge pull request #2349 from nmoroze/smt2-bugfix
tree
|
commitdiff
2020-08-20
Marcelina Kościelnicka
synth_intel: Remove incomplete Arria 10 GX support.
tree
|
commitdiff
2020-08-20
Dan Ravensloft
intel: move Cyclone V support to intel_alm
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2347 from YosysHQ/mwk/techmap-shift...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2344 from YosysHQ/mwk/opt_share...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2337 from YosysHQ/mwk/clean-keep...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2333 from YosysHQ/mwk/peepopt-shift...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2327 from YosysHQ/mwk/techmap-const...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
tree
|
commitdiff
2020-08-20
clairexen
Merge pull request #2319 from YosysHQ/mwk/techmap-cellt...
tree
|
commitdiff
2020-08-20
Marcelina Kościelnicka
techmap/shift_shiftx: Remove the "shiftx2mux" special...
tree
|
commitdiff
2020-08-19
clairexen
Merge pull request #2122 from PeterCrozier/struct_array2
tree
|
commitdiff
2020-08-18
Xiretza
Respect \A_SIGNED for $shift
tree
|
commitdiff
2020-08-18
clairexen
Merge pull request #2339 from zachjs/display-format-0s
tree
|
commitdiff
2020-08-18
clairexen
Merge pull request #2338 from zachjs/const-branch-finish
tree
|
commitdiff
2020-08-18
clairexen
Merge pull request #2317 from zachjs/expand-genblock
tree
|
commitdiff
2020-08-18
Claire Wolf
Merge branch 'const-func-block-var' of https://github...
tree
|
commitdiff
2020-08-18
clairexen
Merge pull request #2281 from zachjs/const-real
tree
|
commitdiff
2020-08-13
Dan Ravensloft
intel_alm: fix typo in MISTRAL_MUL27X27 cell name
tree
|
commitdiff
2020-08-12
whitequark
Merge pull request #2340 from andy-knowles/cxxrtl-fix...
tree
|
commitdiff
2020-08-12
Dan Ravensloft
intel_alm: add more megafunctions. NFC.
tree
|
commitdiff
2020-08-07
Marcelina Kościelnicka
Replace opt_rmdff with opt_dff.
tree
|
commitdiff
2020-08-02
Marcelina Kościelnicka
techmap: Add support for [] wildcards in techmap_celltype.
tree
|
commitdiff
2020-07-30
Marcelina Kościelnicka
opt_expr: Remove -clkinv option, make it the default.
tree
|
commitdiff
2020-07-30
Marcelina Kościelnicka
synth_ice40: Use opt_dff.
tree
|
commitdiff
2020-07-30
Marcelina Kościelnicka
synth_xilinx: Use opt_dff.
tree
|
commitdiff
2020-07-28
clairexen
Merge pull request #2301 from zachjs/for-loop-errors
tree
|
commitdiff
2020-07-28
clairexen
Merge pull request #2306 from YosysHQ/mwk/equiv_induct...
tree
|
commitdiff
2020-07-27
Dan Ravensloft
intel_alm: direct M10K instantiation
tree
|
commitdiff
2020-07-26
Dan Ravensloft
intel_alm: increase abc9 -W
tree
|
commitdiff
2020-07-23
clairexen
Merge pull request #2285 from YosysHQ/mwk/techmap-cellname
tree
|
commitdiff
2020-07-23
clairexen
Merge pull request #2294 from Ravenslofty/intel_alm_timings
tree
|
commitdiff
2020-07-23
Dan Ravensloft
intel_alm: add additional ABC9 timings
tree
|
commitdiff
2020-07-22
Keith Rothman
Remove EXPLICIT_CARRY logic.
tree
|
commitdiff
2020-07-17
Miodrag Milanović
Merge pull request #2275 from YosysHQ/mwk/sf2-clkint-fix
tree
|
commitdiff
2020-07-17
Marcelina Kościelnicka
sf2: Emit CLKINT even if -clkbuf not passed
tree
|
commitdiff
2020-07-17
Miodrag Milanović
Merge pull request #2274 from YosysHQ/mwk/anlogic-ff-fix
tree
|
commitdiff
2020-07-17
Marcelina Kościelnicka
anlogic: Fix FF mapping.
tree
|
commitdiff
2020-07-16
clairexen
Merge pull request #2229 from Ravenslofty/sf2_remove_sf...
tree
|
commitdiff
2020-07-16
clairexen
Merge pull request #2273 from whitequark/write-verilog...
tree
|
commitdiff
2020-07-16
clairexen
Merge pull request #2272 from whitequark/write-verilog-sv
tree
|
commitdiff
2020-07-16
Miodrag Milanović
Merge pull request #2238 from YosysHQ/mwk/dfflegalize...
tree
|
commitdiff
2020-07-16
Miodrag Milanović
Merge pull request #2226 from YosysHQ/mwk/nuke-efinix...
tree
|
commitdiff
2020-07-16
whitequark
Merge pull request #2270 from whitequark/cxxrtl-fix...
tree
|
commitdiff
2020-07-15
clairexen
Merge pull request #2257 from antmicro/fix-conflicts
tree
|
commitdiff
2020-07-14
Marcelina Kościelnicka
achronix: Use dfflegalize.
tree
|
commitdiff
2020-07-14
Marcelina Kościelnicka
anlogic: Use dfflegalize.
tree
|
commitdiff
2020-07-13
Marcelina Kościelnicka
intel: Use dfflegalize.
tree
|
commitdiff
2020-07-13
Lofty
Revert "intel_alm: direct M10K instantiation"
tree
|
commitdiff
2020-07-12
Marcelina Kościelnicka
xilinx: Fix srl regression.
tree
|
commitdiff
2020-07-09
Dan Ravensloft
sf2: replace sf2_iobs with {clkbuf,iopad}map
tree
|
commitdiff
2020-07-09
whitequark
Merge pull request #2255 from whitequark/bison-Werror...
tree
|
commitdiff
2020-07-09
whitequark
Merge pull request #2254 from whitequark/cxxrtl-extern-c
tree
|
commitdiff
2020-07-09
Marcelina Kościelnicka
sf2: Use dfflegalize.
tree
|
commitdiff
2020-07-09
Marcelina Kościelnicka
xilinx: Use dfflegalize.
tree
|
commitdiff
2020-07-09
clairexen
Merge pull request #2244 from antmicro/logic
tree
|
commitdiff
2020-07-06
Marcelina Kościelnicka
efinix: Use dfflegalize.
tree
|
commitdiff
2020-07-06
Marcelina Kościelnicka
gowin: Use dfflegalize.
tree
|
commitdiff
2020-07-05
Dan Ravensloft
intel_alm: direct M10K instantiation
tree
|
commitdiff
2020-07-05
Dan Ravensloft
synth_gowin: ABC9 support
tree
|
commitdiff
2020-07-05
Marcelina Kościelnicka
Merge pull request #2236 from YosysHQ/mwk/dfflegalize...
tree
|
commitdiff
2020-07-05
Marcelina Kościelnicka
ecp5: Use dfflegalize.
tree
|
commitdiff
2020-07-05
whitequark
Merge pull request #2227 from Ravenslofty/ccache
tree
|
commitdiff
2020-07-05
Marcelina Kościelnicka
Merge pull request #2232 from YosysHQ/mwk/gowin-sim...
tree
|
commitdiff
2020-07-05
Dan Ravensloft
intel_alm: DSP inference
tree
|
commitdiff
2020-07-05
Marcelina Kościelnicka
ice40: Use dfflegalize.
tree
|
commitdiff
2020-07-05
Marcelina Kościelnicka
gowin: Fix INIT values in sim library.
tree
|
commitdiff
2020-07-04
Dan Ravensloft
gowin: replace determine_init with setundef
tree
|
commitdiff
2020-07-04
Marcelina Kościelnicka
synth_intel_alm: Use dfflegalize.
tree
|
commitdiff
2020-07-04
Marcelina Kościelnicka
efinix: Nuke efinix_gbuf in favor of clkbufmap.
tree
|
commitdiff
2020-07-04
Dan Ravensloft
Improve MISTRAL_FF specify rules
tree
|
commitdiff
2020-07-04
Eddie Hung
intel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FF
tree
|
commitdiff
2020-07-04
Eddie Hung
intel_alm: add $__ prefix to MISTRAL_FF_SYNCONLY
tree
|
commitdiff
2020-07-04
Dan Ravensloft
intel_alm: ABC9 sequential optimisations
tree
|
commitdiff
2020-07-02
clairexen
Merge pull request #2132 from YosysHQ/eddie/verific_initial
tree
|
commitdiff
2020-07-02
clairexen
Merge pull request #2195 from YosysHQ/mwk/manual-gates
tree
|
commitdiff
2020-07-01
clairexen
Merge pull request #2203 from antmicro/fix-grammar
tree
|
commitdiff
2020-07-01
clairexen
Merge pull request #2179 from splhack/static-cast
tree
|
commitdiff
next