nexus: Add make_transp to BRAMs
[yosys.git] / techlibs /
2020-10-22 David Shahnexus: Add make_transp to BRAMs
2020-10-22 N. EngelhardtMerge pull request #2403 from nakengelhardt/sim_timescale
2020-10-20 clairexenMerge pull request #2405 from byuccl/fix_xilinx_cells
2020-10-19 Jeff GoedersMove signal declarations to before first use
2020-10-19 Miodrag MilanovićMerge pull request #2397 from daveshah1/nexus
2020-10-15 David Shahsynth_nexus: Initial implementation
2020-10-01 clairexenMerge pull request #2378 from udif/pr_dollar_high_low
2020-10-01 clairexenMerge pull request #2380 from Xiretza/parallel-tests
2020-09-23 Eddie Hung xilinx: do not make DSP48E1 a whitebox for ABC9 by...
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-09-01 clairexenMerge pull request #2352 from zachjs/const-func-localparam
2020-09-01 clairexenMerge pull request #2366 from zachjs/library-format
2020-09-01 clairexenMerge pull request #2353 from zachjs/top-scope
2020-09-01 clairexenMerge pull request #2365 from zachjs/const-arg-loop...
2020-08-28 Dan Ravensloftintel_alm: better map wide but shallow multiplies
2020-08-27 whitequarkMerge pull request #2357 from whitequark/cxxflags-MP
2020-08-27 whitequarkMerge pull request #2356 from whitequark/flatten-techma...
2020-08-27 whitequarkMerge pull request #2358 from whitequark/rename-ilang...
2020-08-26 Dan Ravensloftintel_alm: Add multiply signedness to cells
2020-08-26 whitequarkMerge pull request #2351 from pbsds/proc_nomux
2020-08-22 clairexenMerge pull request #2349 from nmoroze/smt2-bugfix
2020-08-20 Marcelina Kościelnickasynth_intel: Remove incomplete Arria 10 GX support.
2020-08-20 Dan Ravensloftintel: move Cyclone V support to intel_alm
2020-08-20 clairexenMerge pull request #2347 from YosysHQ/mwk/techmap-shift...
2020-08-20 clairexenMerge pull request #2344 from YosysHQ/mwk/opt_share...
2020-08-20 clairexenMerge pull request #2337 from YosysHQ/mwk/clean-keep...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
2020-08-20 clairexenMerge pull request #2327 from YosysHQ/mwk/techmap-const...
2020-08-20 clairexenMerge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
2020-08-20 clairexenMerge pull request #2319 from YosysHQ/mwk/techmap-cellt...
2020-08-20 Marcelina Kościelnickatechmap/shift_shiftx: Remove the "shiftx2mux" special...
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 XiretzaRespect \A_SIGNED for $shift
2020-08-18 clairexenMerge pull request #2339 from zachjs/display-format-0s
2020-08-18 clairexenMerge pull request #2338 from zachjs/const-branch-finish
2020-08-18 clairexenMerge pull request #2317 from zachjs/expand-genblock
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-08-13 Dan Ravensloftintel_alm: fix typo in MISTRAL_MUL27X27 cell name
2020-08-12 whitequarkMerge pull request #2340 from andy-knowles/cxxrtl-fix...
2020-08-12 Dan Ravensloftintel_alm: add more megafunctions. NFC.
2020-08-07 Marcelina KościelnickaReplace opt_rmdff with opt_dff.
2020-08-02 Marcelina Kościelnickatechmap: Add support for [] wildcards in techmap_celltype.
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_ice40: Use opt_dff.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
2020-07-28 clairexenMerge pull request #2301 from zachjs/for-loop-errors
2020-07-28 clairexenMerge pull request #2306 from YosysHQ/mwk/equiv_induct...
2020-07-27 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-26 Dan Ravensloftintel_alm: increase abc9 -W
2020-07-23 clairexenMerge pull request #2285 from YosysHQ/mwk/techmap-cellname
2020-07-23 clairexenMerge pull request #2294 from Ravenslofty/intel_alm_timings
2020-07-23 Dan Ravensloftintel_alm: add additional ABC9 timings
2020-07-22 Keith RothmanRemove EXPLICIT_CARRY logic.
2020-07-17 Miodrag MilanovićMerge pull request #2275 from YosysHQ/mwk/sf2-clkint-fix
2020-07-17 Marcelina Kościelnickasf2: Emit CLKINT even if -clkbuf not passed
2020-07-17 Miodrag MilanovićMerge pull request #2274 from YosysHQ/mwk/anlogic-ff-fix
2020-07-17 Marcelina Kościelnickaanlogic: Fix FF mapping.
2020-07-16 clairexenMerge pull request #2229 from Ravenslofty/sf2_remove_sf...
2020-07-16 clairexenMerge pull request #2273 from whitequark/write-verilog...
2020-07-16 clairexenMerge pull request #2272 from whitequark/write-verilog-sv
2020-07-16 Miodrag MilanovićMerge pull request #2238 from YosysHQ/mwk/dfflegalize...
2020-07-16 Miodrag MilanovićMerge pull request #2226 from YosysHQ/mwk/nuke-efinix...
2020-07-16 whitequarkMerge pull request #2270 from whitequark/cxxrtl-fix...
2020-07-15 clairexenMerge pull request #2257 from antmicro/fix-conflicts
2020-07-14 Marcelina Kościelnickaachronix: Use dfflegalize.
2020-07-14 Marcelina Kościelnickaanlogic: Use dfflegalize.
2020-07-13 Marcelina Kościelnickaintel: Use dfflegalize.
2020-07-13 LoftyRevert "intel_alm: direct M10K instantiation"
2020-07-12 Marcelina Kościelnickaxilinx: Fix srl regression.
2020-07-09 Dan Ravensloftsf2: replace sf2_iobs with {clkbuf,iopad}map
2020-07-09 whitequarkMerge pull request #2255 from whitequark/bison-Werror...
2020-07-09 whitequarkMerge pull request #2254 from whitequark/cxxrtl-extern-c
2020-07-09 Marcelina Kościelnickasf2: Use dfflegalize.
2020-07-09 Marcelina Kościelnickaxilinx: Use dfflegalize.
2020-07-09 clairexenMerge pull request #2244 from antmicro/logic
2020-07-06 Marcelina Kościelnickaefinix: Use dfflegalize.
2020-07-06 Marcelina Kościelnickagowin: Use dfflegalize.
2020-07-05 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-05 Dan Ravensloftsynth_gowin: ABC9 support
2020-07-05 Marcelina KościelnickaMerge pull request #2236 from YosysHQ/mwk/dfflegalize...
2020-07-05 Marcelina Kościelnickaecp5: Use dfflegalize.
2020-07-05 whitequarkMerge pull request #2227 from Ravenslofty/ccache
2020-07-05 Marcelina KościelnickaMerge pull request #2232 from YosysHQ/mwk/gowin-sim...
2020-07-05 Dan Ravensloftintel_alm: DSP inference
2020-07-05 Marcelina Kościelnickaice40: Use dfflegalize.
2020-07-05 Marcelina Kościelnickagowin: Fix INIT values in sim library.
2020-07-04 Dan Ravensloftgowin: replace determine_init with setundef
2020-07-04 Marcelina Kościelnickasynth_intel_alm: Use dfflegalize.
2020-07-04 Marcelina Kościelnickaefinix: Nuke efinix_gbuf in favor of clkbufmap.
2020-07-04 Dan RavensloftImprove MISTRAL_FF specify rules
2020-07-04 Eddie Hungintel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FF
2020-07-04 Eddie Hungintel_alm: add $__ prefix to MISTRAL_FF_SYNCONLY
2020-07-04 Dan Ravensloftintel_alm: ABC9 sequential optimisations
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-07-02 clairexenMerge pull request #2195 from YosysHQ/mwk/manual-gates
2020-07-01 clairexenMerge pull request #2203 from antmicro/fix-grammar
2020-07-01 clairexenMerge pull request #2179 from splhack/static-cast
next