Merge pull request #2569 from zachjs/macro-arg-surrounding-spaces
[yosys.git] / techlibs /
2021-01-28 Claire XenMerge pull request #2535 from Ravenslofty/scc-specify
2021-01-26 Marcelina Kościelnickaxilinx_dffopt: Don't crash on missing IS_*_INVERTED.
2021-01-26 Marcelina Kościelnickaxilinx: Add FDRSE_1, FDCPE_1.
2021-01-04 whitequarkMerge pull request #2522 from tomverbeure/simlib_typos2
2021-01-04 Tom VerbeureFix some trivial typos.
2021-01-01 whitequarkMerge pull request #2480 from YosysHQ/dave/nexus-lram
2020-12-23 whitequarkMerge pull request #2476 from zachjs/const-arg-width
2020-12-22 whitequarkMerge pull request #2497 from whitequark/cxxrtl-reflow
2020-12-22 whitequarkMerge pull request #2479 from zachjs/const-arg-hint
2020-12-22 whitequarkMerge pull request #2491 from zachjs/port-bind-sign
2020-12-21 Marcelina Kościelnickaxilinx: Add some missing blackbox cells.
2020-12-21 Marcelina Kościelnickaxilinx: Regenerate cells_xtra.v using Vivado 2020.2
2020-12-19 whitequarkMerge pull request #2487 from whitequark/cxxrtl-outlining
2020-12-17 Marcelina Kościelnickaxilinx: Add FDDRCPE and FDDRRSE blackbox cells.
2020-12-07 David Shahnexus: Add LRAM inference
2020-12-02 whitequarkMerge pull request #2468 from whitequark/cxxrtl-assert
2020-12-02 whitequarkMerge pull request #2469 from whitequark/cxxrtl-no-clk
2020-12-02 whitequarkMerge pull request #2466 from whitequark/cxxrtl-reset
2020-12-02 whitequarkMerge pull request #2456 from Zottel/master
2020-12-02 whitequarkMerge pull request #2455 from gsomlo/gls-fedpkg-fixes
2020-12-02 David ShahMerge pull request #2467 from YosysHQ/dave/nexus-carry-fix
2020-12-02 whitequarkMerge pull request #2446 from RobertBaruch/rtlil_format
2020-12-02 David Shahnexus: More efficient CO mapping
2020-12-01 Claire XenMerge pull request #2463 from georgerennie/fix_verilog_...
2020-12-01 Miodrag MilanovićMerge pull request #2460 from pepijndevos/simple-gowin
2020-11-30 Pepijn de Vosadd -noalu and -json option for apicula
2020-11-25 whitequarkMerge pull request #2452 from whitequark/rtlil-remove...
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-25 whitequarkMerge pull request #2442 from cr1901/sccache
2020-11-24 whitequarkMerge pull request #2428 from whitequark/check-processes
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-11-20 Miodrag MilanovićMerge pull request #2443 from YosysHQ/dave/nexus-mult...
2020-11-20 David Shahnexus: DSP inference support
2020-11-18 Miodrag MilanovićMerge pull request #2441 from YosysHQ/dave/nexus_dsp_sim
2020-11-18 David Shahnexus: Add DSP simulation model
2020-11-18 Miodrag MilanovicFix duplicated parameter name typo
2020-11-16 Miodrag MilanovićMerge pull request #2438 from kbeckmann/gowin_rpll
2020-11-11 Konrad Beckmannsynth_gowin: Add rPLL blackbox
2020-10-22 David Shahnexus: Add make_transp to BRAMs
2020-10-22 N. EngelhardtMerge pull request #2403 from nakengelhardt/sim_timescale
2020-10-20 clairexenMerge pull request #2405 from byuccl/fix_xilinx_cells
2020-10-19 Jeff GoedersMove signal declarations to before first use
2020-10-19 Miodrag MilanovićMerge pull request #2397 from daveshah1/nexus
2020-10-15 David Shahsynth_nexus: Initial implementation
2020-10-01 clairexenMerge pull request #2378 from udif/pr_dollar_high_low
2020-10-01 clairexenMerge pull request #2380 from Xiretza/parallel-tests
2020-09-23 Eddie Hung xilinx: do not make DSP48E1 a whitebox for ABC9 by...
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-09-01 clairexenMerge pull request #2352 from zachjs/const-func-localparam
2020-09-01 clairexenMerge pull request #2366 from zachjs/library-format
2020-09-01 clairexenMerge pull request #2353 from zachjs/top-scope
2020-09-01 clairexenMerge pull request #2365 from zachjs/const-arg-loop...
2020-08-28 Dan Ravensloftintel_alm: better map wide but shallow multiplies
2020-08-27 whitequarkMerge pull request #2357 from whitequark/cxxflags-MP
2020-08-27 whitequarkMerge pull request #2356 from whitequark/flatten-techma...
2020-08-27 whitequarkMerge pull request #2358 from whitequark/rename-ilang...
2020-08-26 Dan Ravensloftintel_alm: Add multiply signedness to cells
2020-08-26 whitequarkMerge pull request #2351 from pbsds/proc_nomux
2020-08-22 clairexenMerge pull request #2349 from nmoroze/smt2-bugfix
2020-08-20 Marcelina Kościelnickasynth_intel: Remove incomplete Arria 10 GX support.
2020-08-20 Dan Ravensloftintel: move Cyclone V support to intel_alm
2020-08-20 clairexenMerge pull request #2347 from YosysHQ/mwk/techmap-shift...
2020-08-20 clairexenMerge pull request #2344 from YosysHQ/mwk/opt_share...
2020-08-20 clairexenMerge pull request #2337 from YosysHQ/mwk/clean-keep...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
2020-08-20 clairexenMerge pull request #2327 from YosysHQ/mwk/techmap-const...
2020-08-20 clairexenMerge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
2020-08-20 clairexenMerge pull request #2319 from YosysHQ/mwk/techmap-cellt...
2020-08-20 Marcelina Kościelnickatechmap/shift_shiftx: Remove the "shiftx2mux" special...
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 XiretzaRespect \A_SIGNED for $shift
2020-08-18 clairexenMerge pull request #2339 from zachjs/display-format-0s
2020-08-18 clairexenMerge pull request #2338 from zachjs/const-branch-finish
2020-08-18 clairexenMerge pull request #2317 from zachjs/expand-genblock
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-08-13 Dan Ravensloftintel_alm: fix typo in MISTRAL_MUL27X27 cell name
2020-08-12 whitequarkMerge pull request #2340 from andy-knowles/cxxrtl-fix...
2020-08-12 Dan Ravensloftintel_alm: add more megafunctions. NFC.
2020-08-07 Marcelina KościelnickaReplace opt_rmdff with opt_dff.
2020-08-02 Marcelina Kościelnickatechmap: Add support for [] wildcards in techmap_celltype.
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_ice40: Use opt_dff.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
2020-07-28 clairexenMerge pull request #2301 from zachjs/for-loop-errors
2020-07-28 clairexenMerge pull request #2306 from YosysHQ/mwk/equiv_induct...
2020-07-27 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-26 Dan Ravensloftintel_alm: increase abc9 -W
2020-07-23 clairexenMerge pull request #2285 from YosysHQ/mwk/techmap-cellname
2020-07-23 clairexenMerge pull request #2294 from Ravenslofty/intel_alm_timings
2020-07-23 Dan Ravensloftintel_alm: add additional ABC9 timings
2020-07-22 Keith RothmanRemove EXPLICIT_CARRY logic.
2020-07-17 Miodrag MilanovićMerge pull request #2275 from YosysHQ/mwk/sf2-clkint-fix
2020-07-17 Marcelina Kościelnickasf2: Emit CLKINT even if -clkbuf not passed
2020-07-17 Miodrag MilanovićMerge pull request #2274 from YosysHQ/mwk/anlogic-ff-fix
2020-07-17 Marcelina Kościelnickaanlogic: Fix FF mapping.
2020-07-16 clairexenMerge pull request #2229 from Ravenslofty/sf2_remove_sf...
2020-07-16 clairexenMerge pull request #2273 from whitequark/write-verilog...
next