projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
Merge pull request #1866 from boqwxp/cleanup_test_autotb
[yosys.git]
/
techlibs
/
2020-04-06
whitequark
Merge pull request #1859 from boqwxp/design_duplicate
tree
|
commitdiff
2020-04-03
Eddie Hung
Merge pull request #1648 from YosysHQ/eddie/cmp2lcu
tree
|
commitdiff
2020-04-03
Eddie Hung
cmp2lcu: rename _90_lcu_cmp -> _80_lcu_cmp
tree
|
commitdiff
2020-04-03
Eddie Hung
cmp2lcu: fail if `LUT_WIDTH < 2
tree
|
commitdiff
2020-04-03
Eddie Hung
synth: only techmap cmp2{lut,lcu} if -lut
tree
|
commitdiff
2020-04-03
Eddie Hung
synth: use +/cmp2lcu.v in generic 'synth' too
tree
|
commitdiff
2020-04-03
Eddie Hung
Cleanup +/cmp2lut.v
tree
|
commitdiff
2020-04-03
Eddie Hung
synth_xilinx: techmap +/cmp2lut.v and +/cmp2lcu.v in...
tree
|
commitdiff
2020-04-03
Eddie Hung
+/cmp2lcu.v to work efficiently for fully/partially...
tree
|
commitdiff
2020-04-03
Eddie Hung
Refactor +/cmp2lcu.v into recursive techmap
tree
|
commitdiff
2020-04-03
Eddie Hung
Cleanup
tree
|
commitdiff
2020-04-03
Eddie Hung
Cleanup cmp2lcu.v
tree
|
commitdiff
2020-04-03
Eddie Hung
techmap +/cmp2lcu.v for decomposing arithmetic compares...
tree
|
commitdiff
2020-04-03
Eddie Hung
cmp2lut: comment out unused since 362f4f9
tree
|
commitdiff
2020-04-02
Eddie Hung
Merge pull request #1853 from YosysHQ/eddie/fix_dynslice
tree
|
commitdiff
2020-04-02
Eddie Hung
Merge pull request #1767 from YosysHQ/eddie/idstrings
tree
|
commitdiff
2020-04-02
Eddie Hung
kernel: big fat patch to use more ID::*, otherwise...
tree
|
commitdiff
2020-04-02
Marcin Kościelnicki
simcells.v: Generate the fine FF cell types by a python...
tree
|
commitdiff
2020-04-02
Claire Wolf
Merge pull request #1846 from dh73/ast_fe
tree
|
commitdiff
2020-04-02
Claire Wolf
Merge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
tree
|
commitdiff
2020-04-02
Eddie Hung
kernel: use more ID::*
tree
|
commitdiff
2020-04-02
Eddie Hung
Merge pull request #1845 from YosysHQ/eddie/kernel_speedup
tree
|
commitdiff
2020-04-02
Claire Wolf
Merge pull request #1770 from YosysHQ/claire/btor_symbols
tree
|
commitdiff
2020-04-02
Claire Wolf
Merge pull request #1765 from YosysHQ/claire/btor_info
tree
|
commitdiff
2020-04-01
Eddie Hung
Merge pull request #1828 from YosysHQ/eddie/celltypes_s...
tree
|
commitdiff
2020-04-01
Eddie Hung
Merge pull request #1790 from YosysHQ/eddie/opt_expr_xor
tree
|
commitdiff
2020-04-01
Eddie Hung
Merge pull request #1789 from YosysHQ/eddie/opt_expr_alu
tree
|
commitdiff
2020-04-01
David Shah
Merge pull request #1844 from YosysHQ/dave/gen-source-loc
tree
|
commitdiff
2020-04-01
Eddie Hung
Merge pull request #1852 from boqwxp/cleanup_synth_ice40
tree
|
commitdiff
2020-04-01
Alberto Gonzalez
Fix indentation in `techlibs/ice40/synth_ice40.cc`.
tree
|
commitdiff
2020-04-01
Claire Wolf
Merge pull request #1848 from YosysHQ/eddie/fix_dynslice
tree
|
commitdiff
2020-03-31
Eddie Hung
Merge pull request #1761 from YosysHQ/eddie/opt_merge_s...
tree
|
commitdiff
2020-03-30
Eddie Hung
Merge pull request #1783 from boqwxp/astcc_cleanup
tree
|
commitdiff
2020-03-30
Eddie Hung
Merge pull request #1786 from boqwxp/hierarchycc_cleanup
tree
|
commitdiff
2020-03-27
Claire Wolf
Merge pull request #1607 from whitequark/simplify-simpl...
tree
|
commitdiff
2020-03-23
N. Engelhardt
Merge pull request #1763 from boqwxp/issue1762
tree
|
commitdiff
2020-03-23
N. Engelhardt
Merge pull request #1803 from Grazfather/typedef
tree
|
commitdiff
2020-03-23
N. Engelhardt
Merge pull request #1785 from boqwxp/mitercc_cleanup
tree
|
commitdiff
2020-03-21
David Shah
Merge pull request #1794 from YosysHQ/dave/mince-abc9-fix
tree
|
commitdiff
2020-03-20
Eddie Hung
Merge pull request #1795 from smunaut/fix_abc9_spram
tree
|
commitdiff
2020-03-20
Sylvain Munaut
ice40: Fix typos in SPRAM ABC9 timing specs
tree
|
commitdiff
2020-03-20
David Shah
ice40: Map unmapped 'mince' DFFs to gate level
tree
|
commitdiff
2020-03-20
Marcin Kościelnicki
xilinx: Mark IOBUFDS.IOB as external pad
tree
|
commitdiff
2020-03-19
N. Engelhardt
Merge pull request #1774 from boqwxp/exec
tree
|
commitdiff
2020-03-17
Eddie Hung
Merge pull request #1769 from boqwxp/select_cleanup
tree
|
commitdiff
2020-03-16
Claire Wolf
Merge pull request #1771 from waldyrious/license-update
tree
|
commitdiff
2020-03-16
N. Engelhardt
Merge pull request #1768 from boqwxp/smt2_cleanup
tree
|
commitdiff
2020-03-16
N. Engelhardt
Merge pull request #1746 from boqwxp/optimization
tree
|
commitdiff
2020-03-15
David Shah
Merge pull request #1773 from smunaut/fix_spram_model
tree
|
commitdiff
2020-03-14
Sylvain Munaut
ice40: Fix SPRAM model to keep data stable if chipselec...
tree
|
commitdiff
2020-03-14
Miodrag Milanovic
Fix invalid verilog syntax
tree
|
commitdiff
2020-03-12
Miodrag Milanović
Merge pull request #1666 from Xiretza/improve-makefile
tree
|
commitdiff
2020-03-11
Eddie Hung
Merge pull request #1743 from YosysHQ/eddie/abc9_keep
tree
|
commitdiff
2020-03-11
Eddie Hung
Merge pull request #1744 from YosysHQ/eddie/fix1675
tree
|
commitdiff
2020-03-10
David Shah
Merge pull request #1721 from YosysHQ/dave/tribuf-unused
tree
|
commitdiff
2020-03-09
Eddie Hung
Merge pull request #1747 from YosysHQ/claire/partselfix
tree
|
commitdiff
2020-03-09
N. Engelhardt
Merge pull request #1716 from zeldin/ecp5_fix
tree
|
commitdiff
2020-03-06
N. Engelhardt
remove unused parameters
tree
|
commitdiff
2020-03-05
Eddie Hung
Merge pull request #1739 from YosysHQ/eddie/issue1738
tree
|
commitdiff
2020-03-05
Eddie Hung
ice40: fix specify for ICE40_{LP,U}
tree
|
commitdiff
2020-03-04
Eddie Hung
ice40: fix implicit signal in specify, also clamp negat...
tree
|
commitdiff
2020-03-04
Eddie Hung
Merge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
tree
|
commitdiff
2020-03-04
Eddie Hung
xilinx: consider DSP48E1.ADREG
tree
|
commitdiff
2020-03-04
Eddie Hung
xilinx: cleanup DSP48E1 handling for abc9
tree
|
commitdiff
2020-03-04
Eddie Hung
xilinx: improve specify for DSP48E1
tree
|
commitdiff
2020-03-04
Eddie Hung
xilinx: missing DSP48E1.PCIN timing from abc9_{map...
tree
|
commitdiff
2020-03-03
N. Engelhardt
Merge pull request #1691 from ZirconiumX/use-flowmap...
tree
|
commitdiff
2020-03-03
Claire Wolf
Merge pull request #1718 from boqwxp/precise_locations
tree
|
commitdiff
2020-03-03
Claire Wolf
Merge pull request #1681 from YosysHQ/eddie/fix1663
tree
|
commitdiff
2020-03-03
Claire Wolf
Merge pull request #1519 from YosysHQ/eddie/submod_po
tree
|
commitdiff
2020-03-02
Eddie Hung
Merge pull request #1724 from YosysHQ/eddie/abc9_specify
tree
|
commitdiff
2020-03-02
N. Engelhardt
Merge pull request #1729 from rqou/coolrunner2
tree
|
commitdiff
2020-03-02
R. Ou
coolrunner2: Attempt to give wires/cells more meaningfu...
tree
|
commitdiff
2020-03-02
R. Ou
coolrunner2: Fix invalid multiple fanouts of XOR/OR...
tree
|
commitdiff
2020-03-02
R. Ou
coolrunner2: Fix packed register+input buffer insertion
tree
|
commitdiff
2020-03-02
R. Ou
coolrunner2: Insert many more required feedthrough...
tree
|
commitdiff
2020-02-28
Dan Ravensloft
Add -flowmap to synth and synth_ice40
tree
|
commitdiff
2020-02-27
Eddie Hung
Remove RAMB{18,36}E1 from cells_xtra.py
tree
|
commitdiff
2020-02-27
Eddie Hung
xilinx: Update RAMB* specify entries
tree
|
commitdiff
2020-02-27
Eddie Hung
ice40: add delays to SB_CARRY
tree
|
commitdiff
2020-02-27
Eddie Hung
xilinx: add delays to INV
tree
|
commitdiff
2020-02-27
Eddie Hung
More +/ice40/cells_sim.v fixes
tree
|
commitdiff
2020-02-27
Eddie Hung
Make +/xilinx/cells_sim.v legal
tree
|
commitdiff
2020-02-27
Eddie Hung
Get rid of (* abc9_{arrival,required} *) entirely
tree
|
commitdiff
2020-02-27
Eddie Hung
abc9_ops: use TimingInfo for -prep_{lut,box} too
tree
|
commitdiff
2020-02-27
Eddie Hung
Expand +/xilinx/cells_sim.v to keep ICARUS and non...
tree
|
commitdiff
2020-02-27
Eddie Hung
ice40: fix specify for inverted clocks
tree
|
commitdiff
2020-02-27
Eddie Hung
Fix tests by gating some specify constructs from iverilog
tree
|
commitdiff
2020-02-27
Eddie Hung
abc9_ops: ignore (* abc9_flop *) if not '-dff'
tree
|
commitdiff
2020-02-27
Eddie Hung
ice40: specify fixes
tree
|
commitdiff
2020-02-27
Eddie Hung
ice40: move over to specify blocks for -abc9
tree
|
commitdiff
2020-02-27
Eddie Hung
synth_ecp5: use +/abc9_model.v
tree
|
commitdiff
2020-02-27
Eddie Hung
Update xilinx for ABC9
tree
|
commitdiff
2020-02-27
Eddie Hung
Create +/abc9_model.v for $__ABC9_{DELAY,FF_}
tree
|
commitdiff
2020-02-27
Eddie Hung
ecp5: remove small LUT entries
tree
|
commitdiff
2020-02-27
Eddie Hung
Fix commented out specify statement
tree
|
commitdiff
2020-02-27
Eddie Hung
xilinx: improve specify functionality
tree
|
commitdiff
2020-02-27
Eddie Hung
ecp5: deprecate abc9_{arrival,required} and *.{lut...
tree
|
commitdiff
2020-02-27
Eddie Hung
xilinx: use specify blocks in place of abc9_{arrival...
tree
|
commitdiff
2020-02-27
Eddie Hung
Auto-generate .box/.lut files from specify blocks
tree
|
commitdiff
next