Fixes for simple_abc9 tests
[yosys.git] / techlibs /
2019-04-19 Eddie HungMake SB_DFF whitebox
2019-04-19 Eddie HungFix SB_DFF comb model
2019-04-19 Eddie HungMissing close bracket
2019-04-19 Eddie HungAnnotate SB_DFF* with abc_flop and abc_box_id
2019-04-19 Eddie HungAdd SB_DFF* to boxes
2019-04-18 Eddie HungUse new -wb flag for ABC flow
2019-04-18 Eddie HungAlso update Makefile.inc
2019-04-18 Eddie HungMake SB_LUT4 a blackbox
2019-04-18 Eddie HungFix rename
2019-04-18 Eddie HungRename to abc_*.{box,lut}
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-17 Eddie HungUpdate Makefile.inc too
2019-04-17 Eddie HungReduce to three devices: hx, lp, u
2019-04-17 Eddie HungAdd up5k timings
2019-04-17 Eddie HungFix grammar
2019-04-17 Eddie HungUpdate error message
2019-04-17 Eddie HungAdd "-device" argument to synth_ice40
2019-04-17 Eddie HungMissing abc_flop_q attribute on SPRAM
2019-04-17 Eddie HungMap to SB_LUT4 from fastest input first
2019-04-17 Eddie HungMark seq output ports with "abc_flop_q" attr
2019-04-17 Eddie HungAlso update Makefile.inc
2019-04-17 Eddie Hungsynth_ice40 to use renamed files
2019-04-17 Eddie HungRename to abc.*
2019-04-17 Eddie HungRevert "Try using an ICE40_CARRY_LUT primitive to avoid...
2019-04-17 Eddie HungTry using an ICE40_CARRY_LUT primitive to avoid ABC...
2019-04-17 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-17 Eddie HungFix spacing
2019-04-17 Eddie HungAdd SB_LUT4 to box library
2019-04-16 Eddie HungAdd ice40 box files
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-16 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-13 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-12 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungAdd support for synth_xilinx -abc9 and ignore abc9...
2019-04-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-12 Eddie HungMerge pull request #928 from litghost/add_xc7_sim_models
2019-04-12 Keith RothmanRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
2019-04-12 Clifford WolfMerge pull request #933 from dh73/master
2019-04-12 DiegoFixing issues in CycloneV cell sim
2019-04-09 Keith RothmanFix LUT6_2 definition.
2019-04-09 Keith RothmanAdd additional cells sim models for core 7-series prima...
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-03-22 Clifford WolfMerge pull request #889 from YosysHQ/clifford/fix888
2019-03-22 Clifford WolfMerge pull request #890 from YosysHQ/clifford/fix887
2019-03-22 David ShahMerge pull request #891 from YosysHQ/xilinx_keep
2019-03-22 David Shahxilinx: Add keep attribute where appropriate
2019-03-19 Clifford WolfMerge pull request #885 from YosysHQ/clifford/fix873
2019-03-19 Clifford WolfAdd Xilinx negedge FFs to synth_xilinx dffinit call...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfRemove ice40/cells_sim.v hack to avoid warning for...
2019-03-09 Clifford WolfFix typo in ice40_braminit help msg
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-07 Sylvain Munautice40: Run ice40_braminit pass by default
2019-03-07 Sylvain Munautice40: Add ice40_braminit pass to allow initialization...
2019-03-07 Clifford WolfMerge pull request #856 from kprasadvnsi/master
2019-03-07 Clifford WolfAdd link to SF2 / igloo2 macro library guide
2019-03-07 Clifford WolfImprovements in sf2 cells_sim.v
2019-03-06 Clifford WolfAdd sf2 techmap rules for more FF types
2019-03-06 Clifford WolfRefactor SF2 iobuf insertion, Add clkint insertion
2019-03-06 Clifford WolfImprovements in SF2 flow and demo
2019-03-05 Clifford WolfMerge pull request #842 from litghost/merge_upstream
2019-03-05 Clifford WolfMerge pull request #850 from daveshah1/ecp5_warn_conflict
2019-03-05 Clifford WolfMerge pull request #851 from kprasadvnsi/master
2019-03-05 Clifford WolfMerge pull request #852 from ucb-bar/firrtlfixes
2019-03-05 Clifford WolfUse "write_edif -pvector bra" for Xilinx EDIF files
2019-03-04 Keith RothmanRevert BRAM WRITE_MODE changes.
2019-03-04 David Shahecp5: Demote conflicting FF init values to a warning
2019-03-01 Keith RothmanRevert FF models to include IS_x_INVERTED parameters.
2019-03-01 Keith RothmanUse singular for disabling of DRAM or BRAM inference.
2019-03-01 Keith RothmanModify arguments to match existing style.
2019-03-01 Keith RothmanChanges required for VPR place and route synth_xilinx.
2019-03-01 Clifford WolfMerge pull request #841 from mmicko/master
2019-03-01 Miodrag MilanovicFix ECP5 cells_sim for iverilog
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-03-01 Elmsice40: use 2 bits for READ/WRITE MODE for SB_RAM map
2019-02-28 Larry DoolittleReduce amount of trailing whitespace in code base
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-28 Clifford WolfMerge pull request #827 from ucb-bar/firrtlfixes
2019-02-26 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-26 Larry Doolittletechlibs/greenpak4/cells_map.v: Harmonize whitespace...
2019-02-26 Larry DoolittleClean up some whitepsace outliers
2019-02-25 David Shahecp5: Compatibility with Migen AsyncResetSynchronizer
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge branch 'read_aiger' into xaig
2019-02-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Eddie HungMerge branch 'clifford/dffsrfix' of https://github...
2019-02-21 Clifford WolfBugfix in ice40_dsp
2019-02-20 Eddie Hungsynth to take -abc9 argument
2019-02-20 Clifford WolfAdd ice40 test_dsp_map test case generator
2019-02-20 Clifford WolfAdd "synth_ice40 -dsp"
next