2019-06-27 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Add warning if synth_xilinx -abc9 with family != xc7 |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Merge origin/master |
tree | commitdiff |
2019-06-27 |
Eddie Hung | synth_xilinx -arch -> -family, consistent with older... |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Merge pull request #1142 from YosysHQ/clifford/fix1132 |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Merge pull request #1138 from YosysHQ/koriakin/xc7nocar... |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Add WE to ECP5 dist RAM's abc_scc_break too |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Update comment on boxes |
tree | commitdiff |
2019-06-27 |
Eddie Hung | Add "WE" to dist RAM's abc_scc_break |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'koriakin/xc7nocarrymux' into xaig |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Grrr |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Remove unused var |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Add _nowide variants of LUT libraries in -nowidelut... |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'xaig' of github.com:YosysHQ/yosys into... |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'koriakin/xc7nocarrymux' into xaig |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Fix spacing |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'koriakin/xc7nocarrymux' into xaig |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Oops. Actually use nocarry flag as spotted by @koriakin |
tree | commitdiff |
2019-06-26 |
Clifford Wolf | Merge pull request #1137 from mmicko/cell_sim_fix |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'koriakin/xc7nocarrymux' into xaig |
tree | commitdiff |
2019-06-26 |
Miodrag Milanovic | Simulation model verilog fix |
tree | commitdiff |
2019-06-26 |
Eddie Hung | synth_ecp5 rename -nomux to -nowidelut, but preserve... |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge branch 'xc7nocarrymux' of https://github.com... |
tree | commitdiff |
2019-06-26 |
Eddie Hung | Merge pull request #1136 from YosysHQ/xaig_ice40_wire_del |
tree | commitdiff |
2019-06-26 |
David Shah | abc9: Add wire delays to synth_ice40 |
tree | commitdiff |
2019-06-26 |
whitequark | Add more ECP5 Diamond flip-flops. |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Realistic delays for RAM32X1D too |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Add RAM32X1D box info |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-25 |
Clifford Wolf | Merge pull request #1130 from YosysHQ/eddie/fix710 |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Merge pull request #1129 from YosysHQ/eddie/ram32x1d |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Use LUT delays for dist RAM delays |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Re-enable dist RAM boxes for ECP5 |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Revert "Re-enable dist RAM boxes for ECP5" |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Re-enable dist RAM boxes for ECP5 |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Add Xilinx dist RAM as comb boxes |
tree | commitdiff |
2019-06-25 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-24 |
Eddie Hung | Add RAM32X1D support |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into eddie... |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Add comments to ecp5 box |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Add comment to xc7 box |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Fix and cleanup ice40 boxes for carry in/out |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Carry in/out box ordering now move to end, not swap... |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Remove DFF and RAMD box info for now |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Merge branch 'master' into xaig |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-22 |
Eddie Hung | Merge pull request #1108 from YosysHQ/clifford/fix1091 |
tree | commitdiff |
2019-06-21 |
Eddie Hung | Merge branch 'master' into eddie/muxpack |
tree | commitdiff |
2019-06-21 |
Eddie Hung | Merge pull request #1085 from YosysHQ/eddie/shregmap_im... |
tree | commitdiff |
2019-06-21 |
Clifford Wolf | Merge pull request #1121 from YosysHQ/ecp5-ccu2c-inv |
tree | commitdiff |
2019-06-21 |
David Shah | ecp5: Improve mapping of $alu when BI is used |
tree | commitdiff |
2019-06-21 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-21 |
Eddie Hung | Call opt_expr -mux_undef to get rid of 1'bx in muxes... |
tree | commitdiff |
2019-06-20 |
Clifford Wolf | Merge branch 'unpacked_arrays' of https://github.com... |
tree | commitdiff |
2019-06-19 |
Eddie Hung | Merge pull request #1111 from acw1251/help_summary_fixes |
tree | commitdiff |
2019-06-19 |
acw1251 | Fixed small typo in ice40_unlut help summary |
tree | commitdiff |
2019-06-19 |
acw1251 | Fixed the help summary line for a few commands |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Really permute Xilinx LUT mappings as default LUT6... |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Revert "Fix (do not) permute LUT inputs, but permute... |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Clean up |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Fix (do not) permute LUT inputs, but permute mux selects |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Fix copy-pasta issue |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Permute INIT for +/xilinx/lut_map.v |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Simplify comment |
tree | commitdiff |
2019-06-18 |
Eddie Hung | Update LUT7/8 delays to take account for [ABC]OUTMUX... |
tree | commitdiff |
2019-06-17 |
Eddie Hung | Try -W 300 |
tree | commitdiff |
2019-06-15 |
Eddie Hung | Fix upper XC7 LUT[78] delays to use I[01] -> O delay... |
tree | commitdiff |
2019-06-14 |
Eddie Hung | As per @daveshah1 remove async DFF timing from xilinx |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Resolve comments from @daveshah1 |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Add XC7_WIRE_DELAY macro to synth_xilinx.cc |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Update delays based on SymbiFlow/prjxray-db |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Rename +/xilinx/abc.{box,lut} -> abc_xc7.{box,lut} |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Comment out dist RAM boxing on ECP5 for now |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Remove WIP ABC9 flop support |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Make doc consistent |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Merge branch 'xaig' of github.com:YosysHQ/yosys into... |
tree | commitdiff |
2019-06-14 |
Eddie Hung | Merge pull request #1097 from YosysHQ/dave/xaig_ecp5 |
tree | commitdiff |
2019-06-14 |
David Shah | ecp5: Add abc9 option |
tree | commitdiff |
2019-06-13 |
Eddie Hung | Fix name clash |
tree | commitdiff |
2019-06-13 |
Eddie Hung | Fix LP SB_LUT4 timing |
tree | commitdiff |
2019-06-13 |
Serge Bazanski | Merge pull request #829 from abdelrahmanhosny/master |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Move neg-pol to pos-pol mapping from ff_map to cells_map.v |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Reduce diff with master |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Remove abc_flop{,_d} attributes from ice40/cells_sim.v |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Fix spacing |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Remove wide mux inference |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Merge remote-tracking branch 'origin/xc7mux' into xaig |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xaig |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Retry "Add "-W' wire delay arg to abc9, use from synth_... |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Revert "Add "-W' wire delay arg to abc9, use from synth... |
tree | commitdiff |
2019-06-12 |
Eddie Hung | Add "-W' wire delay arg to abc9, use from synth_xilinx |
tree | commitdiff |
2019-06-11 |
Eddie Hung | Merge remote-tracking branch 'origin/eddie/shregmap_imp... |
tree | commitdiff |
2019-06-11 |
Eddie Hung | Disable dist RAM boxes due to comb loop |
tree | commitdiff |
2019-06-11 |
Eddie Hung | Remove #ifndef ABC |
tree | commitdiff |
2019-06-10 |
Eddie Hung | Merge remote-tracking branch 'origin/eddie/shregmap_imp... |
tree | commitdiff |
2019-06-10 |
Eddie Hung | Revert "Revert "Move ff_map back after ABC for shregmap"" |
tree | commitdiff |
2019-06-10 |
Eddie Hung | Revert "Rename shregmap -tech xilinx -> xilinx_dynamic" |
tree | commitdiff |
2019-06-10 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into xc7mux |
tree | commitdiff |
2019-06-10 |
Eddie Hung | Merge remote-tracking branch 'origin/master' into eddie... |
tree | commitdiff |
next |