Clean up trimming leading 1'bx in A during techmappnig
[yosys.git] / techlibs /
2019-06-28 Eddie HungClean up trimming leading 1'bx in A during techmappnig
2019-06-28 Eddie HungFix CARRY4 abc_box_id
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Eddie HungUpdate synth_ice40 -device doc to be relevant for ...
2019-06-28 Eddie HungDisable boxing of ECP5 dist RAM due to regression
2019-06-28 Eddie HungAdd write address to abc_scc_break of ECP5 dist RAM
2019-06-28 Eddie HungFix DO4 typo
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-27 Eddie HungReduce diff with upstream
2019-06-27 Eddie HungExtraneous newline
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungRemove redundant doc
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungAdd warning if synth_xilinx -abc9 with family != xc7
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1132...
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-27 Eddie HungMUXF78 -> $__MUXF78 to indicate internal
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungAdd WE to ECP5 dist RAM's abc_scc_break too
2019-06-27 Eddie HungUpdate comment on boxes
2019-06-27 Eddie HungAdd "WE" to dist RAM's abc_scc_break
2019-06-27 Eddie Hungsynth_xilinx's muxcover call to be very conservative...
2019-06-27 Eddie HungAccidentally removed "simplemap $mux"
2019-06-27 Eddie HungReplace with <internal options>
2019-06-27 Eddie HungRework help_mode for synth_xilinx -widemux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungReturn to upstream synth_xilinx with opt -full and...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungInstead of blocking wreduce on $mux, use -keepdc instea...
2019-06-26 Eddie HungDo not call opt with -full before muxcover
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungCleanup abc_box_id
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungGrrr
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Miodrag MilanovicSimulation model verilog fix
2019-06-26 Eddie Hungsynth_ecp5 rename -nomux to -nowidelut, but preserve...
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Eddie HungRename -minmuxf to -widemux
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
2019-06-26 David Shahabc9: Add wire delays to synth_ice40
2019-06-26 whitequarkAdd more ECP5 Diamond flip-flops.
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungThis optimisation doesn't seem to work...
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungUse LUT delays for dist RAM delays
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungRevert "Re-enable dist RAM boxes for ECP5"
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungAdd Xilinx dist RAM as comb boxes
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-24 Eddie HungMerge remote-tracking branch 'origin/eddie/ram32x1d...
2019-06-24 Eddie HungAdd RAM32X1D support
2019-06-24 Eddie HungReduce MuxFx resources in mux techmapping
2019-06-24 Eddie HungReduce number of decomposed muxes during techmap
2019-06-24 Eddie HungRevert "Fix techmapping muxes some more"
2019-06-24 Eddie HungMove comment
2019-06-24 Eddie HungFix techmapping muxes some more
2019-06-24 Eddie HungFix mux techmapping
2019-06-24 Eddie HungModify costs for muxcover
2019-06-24 Eddie HungChange synth_xilinx's -nomux to -minmuxf <int>
2019-06-23 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
next