Merge pull request #1298 from YosysHQ/clifford/pmgen
[yosys.git] / techlibs /
2019-08-19 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-08-19 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-08-18 whitequarkMerge pull request #1290 from YosysHQ/eddie/pr1266_again
2019-08-18 whitequarkMerge branch 'master' into eddie/pr1266_again
2019-08-17 Clifford WolfMerge pull request #1283 from YosysHQ/clifford/fix1255
2019-08-17 Clifford WolfMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
2019-08-17 Clifford WolfMerge pull request #1300 from YosysHQ/eddie/cleanup2
2019-08-16 Eddie HungMerge pull request #1250 from bwidawsk/master
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-12 Eddie HungFix $lut pin ordering inside $__ICE40_CARRY_WRAPPER
2019-08-12 Eddie HungRevert "Merge pull request #1280 from YosysHQ/revert...
2019-08-12 Serge BazanskiMerge pull request #1152 from 1138-4EB/feat-docker
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Eddie HungMerge pull request #1280 from YosysHQ/revert-1266-eddie...
2019-08-10 David ShahRevert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-10 Clifford WolfMerge pull request #1261 from YosysHQ/clifford/verific_init
2019-08-10 Clifford WolfMerge pull request #1263 from ucb-bar/firrtl_err_on_uns...
2019-08-10 Clifford WolfMerge pull request #1270 from YosysHQ/eddie/alu_lcu_doc
2019-08-09 Eddie HungReformat so it shows up/looks nice when "help $alu...
2019-08-09 whitequarkMerge pull request #1267 from whitequark/proc_prune...
2019-08-09 Eddie HungA bit more on where $lcu comes from
2019-08-09 Eddie HungAdd more comments
2019-08-08 Eddie HungAdd a few comments to document $alu and $lcu
2019-08-08 Eddie HungMerge pull request #1264 from YosysHQ/eddie/fix_1254
2019-08-08 Eddie HungMerge pull request #1266 from YosysHQ/eddie/ice40_full_...
2019-08-07 Eddie HungAllow whitebox modules to be overwritten
2019-08-07 Eddie HungAdd ice40_wrapcarry pass, rename $__ICE40_FULL_ADDER...
2019-08-07 Eddie HungAdd test
2019-08-07 Eddie HungRemove ice40_unlut
2019-08-07 Eddie HungWrap SB_CARRY+SB_LUT into $__ICE40_FULL_ADDER
2019-08-07 Eddie Hungsubstr() -> compare()
2019-08-07 Eddie HungRTLIL::S{0,1} -> State::S{0,1}
2019-08-07 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-07 Eddie Hungstoi -> atoi
2019-08-07 Jim LawsonMerge branch 'master' into firrtl_err_on_unsupported_cell
2019-08-07 David ShahMerge pull request #1260 from YosysHQ/dave/ecp5_cell_fixes
2019-08-07 David Shahecp5: Make cells_sim.v consistent with nextpnr
2019-08-07 Clifford WolfMerge pull request #1213 from YosysHQ/eddie/wreduce_add
2019-08-07 Clifford WolfMerge pull request #1240 from ucb-bar/firrtl-properties...
2019-08-07 Clifford WolfMerge pull request #1249 from mmicko/anlogic_fix
2019-08-07 David ShahMerge pull request #1241 from YosysHQ/clifford/jsonfix
2019-08-06 Eddie HungFix spacing
2019-08-06 Eddie HungUse std::stoi instead of atoi(<str>.c_str())
2019-08-06 Eddie HungMake liberal use of IdString.in()
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-06 Clifford WolfMerge pull request #1251 from YosysHQ/clifford/nmux
2019-08-06 Clifford WolfAdd $_NMUX_, add "abc -g cmos", add proper cmos cell...
2019-08-05 Ben Widawskytechlibs/intel: Clean up Makefile
2019-08-03 Miodrag Milanovicanlogic : Fix alu mapping
2019-08-03 whitequarkMerge pull request #1242 from jfng/fix-proc_prune-partial
2019-08-02 Clifford WolfMerge pull request #1238 from mmicko/vsbuild_fix
2019-08-02 Clifford WolfMerge pull request #1239 from mmicko/mingw_fix
2019-08-01 Eddie HungMerge pull request #1236 from YosysHQ/eddie/xc6s_brams_map
2019-08-01 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-07-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-29 Eddie HungRST -> RSTBRST for RAMB8BWER
2019-07-27 David ShahMerge pull request #1226 from YosysHQ/dave/gzip
2019-07-25 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-25 Clifford WolfMerge branch 'ZirconiumX-synth_intel_m9k'
2019-07-25 Clifford WolfMerge pull request #1218 from ZirconiumX/synth_intel_iopads
2019-07-25 Clifford WolfMerge pull request #1219 from jakobwenzel/objIterator
2019-07-25 Eddie HungMerge pull request #1224 from YosysHQ/xilinx_fix_ff
2019-07-25 David Shahxilinx: Fix missing cell name underscore in cells_map.v
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-24 Dan Ravensloftintel: Make -noiopads the default
2019-07-23 Dan Ravensloftintel: Map M9K BRAM only on families that have it
2019-07-23 Eddie HungMerge pull request #1212 from YosysHQ/eddie/signed_ice4...
2019-07-19 David Shahice40: Fix test_dsp_model.sh
2019-07-19 David Shahice40/cells_sim.v: Fix sign of J and K partial products
2019-07-19 David Shahice40/cells_sim.v: LSB of A/B only signed in 8x8 mode
2019-07-19 Eddie HungAdd tests for all combinations of A and B signedness...
2019-07-19 Eddie HungDon't copy ref if exists already
2019-07-18 David ShahMerge pull request #1208 from ZirconiumX/intel_cleanups
2019-07-18 Dan Ravensloftsynth_intel: Use stringf
2019-07-18 David ShahMerge pull request #1207 from ZirconiumX/intel_new_pass...
2019-07-18 Dan Ravensloftsynth_intel: s/not family/no family/
2019-07-18 Ben Widawskyintel_synth: Fix help message
2019-07-18 Ben Widawskyintel_synth: Small code cleanup to remove if ladder
2019-07-18 Ben Widawskyintel_synth: Make family explicit and match
2019-07-18 Ben Widawskyintel_synth: Minor code cleanups
2019-07-18 Dan Ravensloftsynth_intel: rename for consistency with #1184
2019-07-18 Clifford WolfMerge pull request #1184 from whitequark/synth-better...
2019-07-18 Clifford WolfMerge pull request #1203 from whitequark/write_verilog...
2019-07-17 David ShahMerge pull request #1204 from smunaut/fix_1187
2019-07-16 Sylvain Munautice40: Adapt the relut process passes to the new $lut...
2019-07-16 Eddie HungMerge pull request #1202 from YosysHQ/cmp2lut_lut6
2019-07-16 whitequarksynth_ecp5: rename dram to lutram everywhere.
2019-07-16 whitequarksynth_{ice40,ecp5}: more sensible pass label naming.
2019-07-16 Eddie Hunggen_lut to return correctly sized LUT mask
2019-07-16 Eddie HungMerge pull request #1188 from YosysHQ/eddie/abc9_push_i...
2019-07-16 Eddie HungMerge pull request #1186 from YosysHQ/eddie/abc9_ice40_fix
2019-07-15 Eddie Hung$__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per ...
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-14 Eddie HungMerge pull request #1194 from cr1901/miss-semi
2019-07-13 Eddie Hungice40_opt to $__ICE40_CARRY_LUT4 into $lut not SB_LUT
2019-07-12 Clifford WolfMerge pull request #1183 from whitequark/ice40-always...
2019-07-12 Eddie HungUse Const::from_string() not its constructor...
2019-07-12 Eddie HungOff by one
2019-07-12 Eddie HungFix spacing
next