Add tests/various/async.{sh,v}
[yosys.git] / techlibs /
2019-07-09 Eddie HungMerge pull request #1170 from YosysHQ/eddie/fix_double_...
2019-07-09 Clifford WolfMerge pull request #1168 from whitequark/bugpoint-processes
2019-07-09 Clifford WolfMerge pull request #1169 from whitequark/more-proc...
2019-07-09 Clifford WolfMerge pull request #1163 from whitequark/more-case...
2019-07-09 Clifford WolfMerge pull request #1162 from whitequark/rtlil-case...
2019-07-09 Clifford WolfMerge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
2019-07-09 Eddie HungMerge pull request #1166 from YosysHQ/eddie/synth_keepdc
2019-07-09 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-07-09 Eddie HungAdd synth -keepdc option
2019-07-08 Eddie HungMerge pull request #1164 from YosysHQ/eddie/muxcover_mux2
2019-07-08 David ShahMerge pull request #1160 from ZirconiumX/cyclone_v
2019-07-07 Dan Ravensloftsynth_intel: Warn about untested Quartus backend
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-02 Eddie HungMerge branch 'eddie/script_from_wire' into eddie/xc7srl...
2019-07-01 Eddie HungMerge branch 'master' into eddie/script_from_wire
2019-07-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-06-30 Eddie HungCleanup SRL inference/make more consistent
2019-06-30 Eddie Hunginstall *_nowide.lut files
2019-06-28 Eddie HungMerge pull request #1149 from gsomlo/gls-1098-abcext...
2019-06-28 Eddie HungRemove peepopt call in synth_xilinx since already in...
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Eddie HungUpdate synth_ice40 -device doc to be relevant for ...
2019-06-28 Eddie HungDisable boxing of ECP5 dist RAM due to regression
2019-06-28 Eddie HungAdd write address to abc_scc_break of ECP5 dist RAM
2019-06-28 Eddie HungFix DO4 typo
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-27 Eddie HungReduce diff with upstream
2019-06-27 Eddie HungExtraneous newline
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungRemove redundant doc
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungAdd warning if synth_xilinx -abc9 with family != xc7
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-27 Eddie HungAdd WE to ECP5 dist RAM's abc_scc_break too
2019-06-27 Eddie HungUpdate comment on boxes
2019-06-27 Eddie HungAdd "WE" to dist RAM's abc_scc_break
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungGrrr
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Miodrag MilanovicSimulation model verilog fix
2019-06-26 Eddie Hungsynth_ecp5 rename -nomux to -nowidelut, but preserve...
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Eddie HungMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
2019-06-26 David Shahabc9: Add wire delays to synth_ice40
2019-06-26 whitequarkAdd more ECP5 Diamond flip-flops.
2019-06-25 Eddie HungRealistic delays for RAM32X1D too
2019-06-25 Eddie HungAdd RAM32X1D box info
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Eddie HungUse LUT delays for dist RAM delays
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungRevert "Re-enable dist RAM boxes for ECP5"
2019-06-25 Eddie HungRe-enable dist RAM boxes for ECP5
2019-06-25 Eddie HungAdd Xilinx dist RAM as comb boxes
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-24 Eddie HungAdd RAM32X1D support
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-22 Eddie HungAdd comments to ecp5 box
2019-06-22 Eddie HungAdd comment to xc7 box
2019-06-22 Eddie HungFix and cleanup ice40 boxes for carry in/out
2019-06-22 Eddie HungCarry in/out box ordering now move to end, not swap...
2019-06-22 Eddie HungRemove DFF and RAMD box info for now
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-22 Eddie HungMerge pull request #1108 from YosysHQ/clifford/fix1091
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Clifford WolfMerge pull request #1121 from YosysHQ/ecp5-ccu2c-inv
2019-06-21 David Shahecp5: Improve mapping of $alu when BI is used
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungCall opt_expr -mux_undef to get rid of 1'bx in muxes...
2019-06-20 Clifford WolfMerge branch 'master' of https://github.com/bogdanvuk...
2019-06-20 Clifford WolfMerge branch 'unpacked_arrays' of https://github.com...
2019-06-19 Eddie HungMerge pull request #1111 from acw1251/help_summary_fixes
2019-06-19 acw1251Fixed small typo in ice40_unlut help summary
2019-06-19 acw1251Fixed the help summary line for a few commands
2019-06-18 Eddie HungReally permute Xilinx LUT mappings as default LUT6...
2019-06-18 Eddie HungRevert "Fix (do not) permute LUT inputs, but permute...
2019-06-18 Eddie HungClean up
2019-06-18 Eddie HungFix (do not) permute LUT inputs, but permute mux selects
2019-06-18 Eddie HungFix copy-pasta issue
next