synth_xilinx to call commands of synth -coarse directly
[yosys.git] / techlibs /
2019-07-09 Eddie Hungsynth_xilinx to call commands of synth -coarse directly
2019-07-09 Eddie HungRevert "synth_xilinx to call "synth -run coarse" with...
2019-07-09 Eddie HungFix spacing
2019-07-09 Eddie HungFix spacing
2019-07-09 Eddie HungDecompose mux inputs in delay-orientated (rather than...
2019-07-09 Eddie HungDo not call opt -mux_undef (part of -full) before muxcover
2019-07-09 Eddie HungAdd one more comment
2019-07-09 Eddie HungLess thinking
2019-07-09 Eddie HungReword
2019-07-09 Eddie Hungsynth_xilinx to call "synth -run coarse" with "-keepdc"
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/eddie/synth_keepdc...
2019-07-09 Eddie HungAdd synth -keepdc option
2019-07-09 Eddie HungMap $__XILINX_SHIFTX in a more balanced manner
2019-07-09 Eddie HungCapitalisation
2019-07-09 Eddie HungAdd synth_xilinx -widemux recommended value
2019-07-08 Eddie HungFixes for 2:1 muxes
2019-07-08 Eddie Hungsynth_xilinx -widemux=2 is minimum now
2019-07-08 Eddie HungParametric muxcover costs as per @daveshah1
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/eddie/muxcover_mux...
2019-07-08 Eddie Hungatoi -> stoi as per @daveshah1
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/eddie/script_from_...
2019-07-01 Eddie HungFix $__XILINX_MUXF78 box timing
2019-07-01 Eddie HungRevert "Fix broken MUXFx box, use MUXF7x2 box instead"
2019-07-01 Eddie HungFix broken MUXFx box, use MUXF7x2 box instead
2019-07-01 Eddie HungMerge branch 'master' into eddie/script_from_wire
2019-07-01 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-06-30 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-30 Eddie Hunginstall *_nowide.lut files
2019-06-28 Eddie HungMerge pull request #1149 from gsomlo/gls-1098-abcext...
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/eddie/script_from_...
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungRemove peepopt call in synth_xilinx since already in...
2019-06-28 Eddie HungRestore $__XILINX_MUXF78 const optimisation
2019-06-28 Eddie HungClean up trimming leading 1'bx in A during techmappnig
2019-06-28 Eddie HungFix CARRY4 abc_box_id
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-28 Eddie HungMerge pull request #1098 from YosysHQ/xaig
2019-06-28 Eddie HungUpdate synth_ice40 -device doc to be relevant for ...
2019-06-28 Eddie HungDisable boxing of ECP5 dist RAM due to regression
2019-06-28 Eddie HungAdd write address to abc_scc_break of ECP5 dist RAM
2019-06-28 Eddie HungFix DO4 typo
2019-06-28 Clifford WolfMerge pull request #1046 from bogdanvuk/master
2019-06-27 Eddie HungReduce diff with upstream
2019-06-27 Eddie HungExtraneous newline
2019-06-27 Eddie HungRemove noise from ice40/cells_sim.v
2019-06-27 Eddie HungRefactor for one "abc_carry" attribute on module
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungRemove redundant doc
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungAdd warning if synth_xilinx -abc9 with family != xc7
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1132...
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-27 Eddie HungMUXF78 -> $__MUXF78 to indicate internal
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-27 Eddie HungAdd WE to ECP5 dist RAM's abc_scc_break too
2019-06-27 Eddie HungUpdate comment on boxes
2019-06-27 Eddie HungAdd "WE" to dist RAM's abc_scc_break
2019-06-27 Eddie Hungsynth_xilinx's muxcover call to be very conservative...
2019-06-27 Eddie HungAccidentally removed "simplemap $mux"
2019-06-27 Eddie HungReplace with <internal options>
2019-06-27 Eddie HungRework help_mode for synth_xilinx -widemux
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungReturn to upstream synth_xilinx with opt -full and...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/eddie/fix1132...
2019-06-26 Eddie HungInstead of blocking wreduce on $mux, use -keepdc instea...
2019-06-26 Eddie HungDo not call opt with -full before muxcover
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungCleanup abc_box_id
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungGrrr
2019-06-26 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-26 Eddie HungRemove unused var
2019-06-26 Eddie HungAdd _nowide variants of LUT libraries in -nowidelut...
2019-06-26 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
next