Merge branch 'master' into pr_reg_wire_error
[yosys.git] / techlibs /
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-10 Clifford WolfAdd iCE40 SB_SPRAM256KA simulation model
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-18 Aman GoelMerge pull request #2 from YosysHQ/master
2018-07-16 Clifford WolfMerge pull request #581 from daveshah1/ecp5
2018-07-16 David Shahecp5: Fixing miscellaneous sim model issues
2018-07-16 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-07-16 David Shahecp5: Fixing 'X' issues with LUT simulation models
2018-07-16 David Shahecp5: ECP5 synthesis fixes
2018-07-14 David Shahecp5: Adding synchronous set/reset support
2018-07-13 David Shahecp5: Add DRAM match rule
2018-07-13 David Shahecp5: Cells and mappings fixes
2018-07-13 David Shahecp5: Fixing arith_map
2018-07-13 David Shahecp5: Initial arith_map implementation
2018-07-13 David Shahecp5: Adding basic synth_ecp5 based on synth_ice40
2018-07-13 David Shahecp5: Adding DFF maps
2018-07-13 Clifford WolfMerge pull request #580 from daveshah1/ice40_nx
2018-07-13 David Shahecp5: Adding DRAM map
2018-07-13 David Shahecp5: Adding basic cells_sim and mapper for LUTs up...
2018-07-13 David Shahice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-13 Clifford WolfAdd "synth_ice40 -json"
2018-06-11 Clifford WolfFix ice40_opt for cases where a port is connected to...
2018-05-30 Clifford WolfMake -nordff the default in "prep"
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Clifford WolfMerge pull request #551 from olofk/ice40_cells_sim_ports
2018-05-17 Olof KindgrenAvoid mixing module port declaration styles in ice40...
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-04-30 Clifford WolfAdd "synth_intel --noiopads"
2018-04-18 Tim 'mithro' AnsellImproving vpr output support.
2018-04-18 Tim 'mithro' Ansellsynth_ice40: Rework the vpr blif output slightly.
2018-04-16 Clifford WolfAdd "synth_ice40 -nodffe"
2018-04-01 Clifford WolfMerge pull request #522 from c60k28/master
2018-04-01 c60k28Fixed broken Quartus backend on dffeas init value ...
2018-03-31 Clifford WolfMerge pull request #521 from azonenberg/for_clifford
2018-03-31 Robert Oucoolrunner2: Add an ANDTERM/XOR between chained FFs
2018-03-31 Robert Oucoolrunner2: Split multi-bit nets
2018-03-31 Robert Oucoolrunner2: Add extraction for TFFs
2018-03-11 Larry DoolittleSquelch trailing whitespace, including meta-whitespace
2018-03-07 Clifford WolfAdd Xilinx RAM64X1D and RAM128X1D simulation models
2018-03-04 Clifford WolfAdd "synth -noshare"
2018-02-23 Clifford WolfMerge branch 'forall'
2018-02-23 Clifford WolfAdd $allconst and $allseq cell types
2018-02-03 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2018-02-03 Clifford WolfMerge pull request #488 from azonenberg/for_clifford
2018-01-18 Robert Oucoolrunner2: Move LOC attributes onto the IO cells
2018-01-09 Clifford WolfAdd "dffinit -highlow" and fix synth_intel
2017-12-19 Clifford WolfFix minor typo in "prep" help message
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-13 Clifford WolfMerge pull request #468 from grahamedgecombe/fix-sb...
2017-12-10 Graham EdgecombeFix port names in SB_IO_OD
2017-12-10 Graham EdgecombeRemove trailing comma from SB_IO_OD port list
2017-12-09 Clifford WolfMerge branch 'master' into btor-ng
2017-12-09 Clifford WolfMerge pull request #467 from mithro/patch-1
2017-12-09 Tim AnsellFix spelling in -vpr help for synth_ice40
2017-12-01 Clifford WolfMerge branch 'master' into btor-ng
2017-11-28 Clifford WolfMerge pull request #462 from daveshah1/up5k
2017-11-28 David ShahAdd remaining UltraPlus cells to ice40 techlib
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-23 Clifford WolfMerge branch 'master' into btor-ng
2017-11-23 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-11-18 Clifford WolfMerge pull request #455 from daveshah1/up5k
2017-11-18 David ShahRemove unnecessary keep attributes
2017-11-18 Clifford WolfMerge pull request #452 from cr1901/master
2017-11-18 Clifford WolfMerge pull request #453 from dh73/master
2017-11-17 David ShahMerge branch 'master' into up5k
2017-11-16 Clifford WolfAdd "synth_ice40 -vpr"
2017-11-16 David ShahAdd some UltraPlus cells to ice40 techlib
2017-11-09 dh73Initial Cyclone 10 support
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-11-09 dh73Organizing Speedster file names
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-05 Larry DoolittleClean whitespace and permissions in techlibs/intel
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-10-03 Clifford WolfMerge branch 'fix_shift_reduce_conflict' of https:...
2017-10-03 Clifford WolfMerge branch 'dh73-master'
2017-10-03 Clifford WolfRename "write_verilog -nobasenradix" to "write_verilog...
2017-10-02 dh73Tested and working altsyncarm without init files
2017-10-01 dh73Adding Cyclone IV (E, GX), Arria 10, Cyclone V and...
2017-09-29 Clifford WolfAdd first draft of eASIC back-end
2017-09-29 Clifford WolfFix synth_ice40 doc regarding -top default
2017-09-14 Clifford WolfMerge pull request #412 from azonenberg/reduce-fixes
2017-09-14 Clifford WolfMerge pull request #411 from azonenberg/counter-extract...
2017-09-14 Andrew ZonenbergAdded RESET_TO_MAX parameter to $__COUNT_ cell. Cannot...
2017-09-14 Andrew ZonenbergInitial support for extraction of counters with clock...
2017-09-02 Clifford WolfMerge pull request #406 from azonenberg/coolrunner...
2017-09-02 Clifford WolfMerge pull request #405 from azonenberg/gpak-refactoring
2017-09-01 Robert Oucoolrunner2: Finish fixing special-use p-terms
2017-09-01 Robert Oucoolrunner2: Generate a feed-through AND term when...
2017-09-01 Robert Oucoolrunner2: Initial fixes for special p-terms
2017-09-01 Robert Oucoolrunner2: Fix mapping of flip-flops
next