Revert "Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux"
[yosys.git] / techlibs /
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-11 Eddie HungDisable dist RAM boxes due to comb loop
2019-06-11 Eddie HungRemove #ifndef ABC
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungRevert "Revert "Move ff_map back after ABC for shregmap""
2019-06-10 Eddie HungRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-10 David ShahMerge pull request #1082 from corecode/u4k
2019-06-10 Simon Schubertice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR...
2019-06-07 Eddie HungComment out muxpack (currently broken)
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-06 Eddie Hung$__XILINX_MUX_ -> $__XILINX_SHIFTX
2019-06-06 Eddie HungFix muxcover and its techmapping
2019-06-06 Eddie HungRun muxpack and muxcover in synth_xilinx
2019-06-06 Eddie HungRemove abc_flop attributes for now
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-06 whitequarkECP5: implement all Diamond I/O buffer primitives.
2019-06-05 Eddie HungUpdate abc attributes on FD*E_1
2019-06-05 Eddie HungCleanup
2019-06-05 Eddie HungCall shregmap -tech xilinx_static
2019-06-05 Eddie HungRevert "Move ff_map back after ABC for shregmap"
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Eddie HungRename shregmap -tech xilinx -> xilinx_dynamic
2019-06-04 Eddie HungAdd space between -D and _ABC
2019-06-04 Eddie HungAdd (* abc_flop_q *) to brams_bb.v
2019-06-04 Eddie HungFix name clash
2019-06-04 Eddie HungAdd mux_map.v for wide mux
2019-06-04 Eddie HungMove ff_map back after ABC for shregmap
2019-06-04 Eddie HungRespect -nocarry
2019-06-04 Eddie HungFix pmux2shiftx logic
2019-06-04 Eddie HungMerge mistake
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-04 Eddie HungMerge pull request #1061 from YosysHQ/eddie/techmap_and...
2019-06-04 Eddie HungTypo
2019-06-04 Eddie HungRemove extra newline
2019-06-04 Eddie HungIS_C_INVERTED
2019-06-04 Eddie HungExecute techmap and arith_map simultaneously
2019-06-03 Eddie HungFix `ifndef
2019-06-03 Eddie HungMake SB_LUT4 a whitebox, SB_DFF a blackbox (for now)
2019-06-03 Eddie HungOoopsie
2019-06-03 Eddie HungConsistent with xilinx
2019-06-01 Eddie HungAdd flops as blackboxes
2019-06-01 Eddie HungAdd FD*E_1 -> FD*E techmap rules
2019-06-01 Eddie HungTechmap flops before ABC again
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-30 Eddie HungRemove whitebox attribute from DRAMs for now
2019-05-30 Eddie HungCarry in/out to be the last input/output for chains...
2019-05-30 Eddie HungSome more realistic delays...
2019-05-28 Eddie HungTypo
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-28 Eddie HungMake MUXF{7,8} and CARRY4 whitebox
2019-05-28 Eddie HungRe-enable lib_whitebox
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-26 Eddie HungBlackboxes
2019-05-26 Eddie HungMuck about with LUT delays some more
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-25 Eddie HungTry new LUT delays
2019-05-24 Eddie HungTranspose CARRY4 delays
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-23 Eddie HungMerge pull request #1036 from YosysHQ/eddie/xilinx_dram
2019-05-23 Eddie HungAdd "min bits" and "min wports" to xilinx dram rules
2019-05-23 Eddie HungAdd whitebox support to DRAM
2019-05-23 Clifford WolfMerge pull request #1031 from mdaiter/optimizeLookupTab...
2019-05-22 Clifford WolfMerge pull request #1019 from YosysHQ/clifford/fix1016
2019-05-22 Eddie Hungshift register inference before mux
2019-05-22 Eddie HungFix/workaround symptom unveiled by #1023
2019-05-21 Eddie HungInstead of MUXCY/XORCY use CARRY4 (with timing)
2019-05-21 Eddie HungModify LUT area cost to be same as old abc
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-20 Clifford WolfAdd "wreduce -keepdc", fixes #1016
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 Clifford WolfMerge pull request #1010 from hzeller/yacc-self-contained
2019-05-15 Clifford WolfMerge pull request #1008 from thasti/fix_libyosys_build
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-13 Sylvain Munautice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-09 Clifford WolfMerge pull request #1000 from bwidawsk/synth-format
2019-05-09 Ben WidawskyFix formatting for synth_intel.cc
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Clifford WolfMerge pull request #998 from mdaiter/get_bool_attribute...
2019-05-07 Clifford WolfMerge pull request #996 from mdaiter/ceil_log2_opts
2019-05-07 Clifford WolfAdd "synth_xilinx -arch"
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
next