abc9_ops: ignore (* abc9_flop *) if not '-dff'
[yosys.git] / techlibs /
2020-02-27 Eddie Hungabc9_ops: ignore (* abc9_flop *) if not '-dff'
2020-02-27 Eddie Hungice40: specify fixes
2020-02-27 Eddie Hungice40: move over to specify blocks for -abc9
2020-02-27 Eddie Hungsynth_ecp5: use +/abc9_model.v
2020-02-27 Eddie HungUpdate xilinx for ABC9
2020-02-27 Eddie HungCreate +/abc9_model.v for $__ABC9_{DELAY,FF_}
2020-02-27 Eddie Hungecp5: remove small LUT entries
2020-02-27 Eddie HungFix commented out specify statement
2020-02-27 Eddie Hungxilinx: improve specify functionality
2020-02-27 Eddie Hungecp5: deprecate abc9_{arrival,required} and *.{lut...
2020-02-27 Eddie Hungxilinx: use specify blocks in place of abc9_{arrival...
2020-02-27 Eddie HungAuto-generate .box/.lut files from specify blocks
2020-02-27 Eddie Hungabc9_ops: -prep_box, to be called once
2020-02-27 Eddie Hungabc9_ops: -prep_lut and -write_lut to auto-generate...
2020-02-27 Claire WolfMerge pull request #1709 from rqou/coolrunner2_counter
2020-02-27 Claire WolfMerge pull request #1708 from rqou/coolrunner2-buf-fix
2020-02-27 Piotr Binkowskixilinx: mark IOBUFDSE3 IOB pin as external
2020-02-26 Miodrag MilanovićMerge pull request #1705 from YosysHQ/logger_pass
2020-02-21 Eddie HungMerge pull request #1703 from YosysHQ/eddie/specify_improve
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-17 R. Oucoolrunner2: Use extract_counter to optimize counters
2020-02-17 R. Oucoolrunner2: Separate and improve buffer cell insertion...
2020-02-15 Miodrag MilanovićMerge pull request #1706 from YosysHQ/mmicko/remove_exe...
2020-02-15 Miodrag MilanovicRemove executable flag from files
2020-02-14 Miodrag MilanovićMerge pull request #1701 from nakengelhardt/rpc-test
2020-02-14 Eddie HungMerge pull request #1700 from YosysHQ/eddie/abc9_fixes
2020-02-13 Eddie Hungabc9: deprecate abc9_ff.init wire for (* abc9_init...
2020-02-13 Claire WolfMerge pull request #1694 from rqou/json_compat_fix
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 Eddie Hungabc9: cleanup
2020-02-10 Eddie HungMerge pull request #1670 from rodrigomelo9/master
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-07 Eddie HungRemove unnecessary comma
2020-02-07 Eddie HungMerge pull request #1687 from YosysHQ/eddie/fix_ystests
2020-02-07 Eddie Hungtechmap: fix shiftx2mux decomposition
2020-02-07 Marcin Kościelnickixilinx: Add support for LUT RAM on LUT4-based devices.
2020-02-07 Marcin Kościelnickixilinx: Initial support for LUT4 devices.
2020-02-07 Eddie HungMerge pull request #1685 from dh73/gowin
2020-02-07 whitequarkMerge pull request #1683 from whitequark/write_verilog...
2020-02-07 Marcin Kościelnickixilinx: Add block RAM mapping for Virtex 2* and Spartan 3*.
2020-02-07 Marcin Kościelnickixilinx: Add support for Spartan 3A DSP block RAMs.
2020-02-06 Eddie HungMerge pull request #1684 from YosysHQ/eddie/xilinx_arit...
2020-02-06 Diego HRemoving cells_sim.v from bram techmap pass
2020-02-06 Eddie HungFix $lcu -> MUXCY mapping, credit @mwkmwkmwk
2020-02-06 Eddie HungFix/cleanup +/xilinx/arith_map.v
2020-02-06 Eddie HungMerge pull request #1682 from YosysHQ/eddie/opt_after_t...
2020-02-06 Eddie Hungsynth_*: call 'opt -fast' after 'techmap'
2020-02-06 Eddie Hungshiftx2mux: fix select out of bounds
2020-02-05 Eddie HungMerge pull request #1576 from YosysHQ/eddie/opt_merge_init
2020-02-05 Eddie HungMerge pull request #1650 from YosysHQ/eddie/shiftx2mux
2020-02-05 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-02-05 Eddie HungMerge pull request #1638 from YosysHQ/eddie/fix1631
2020-02-05 Eddie HungMerge pull request #1661 from YosysHQ/eddie/abc9_required
2020-02-03 Rodrigo A. MeloMerge branch 'master' into master
2020-02-03 Marcelina KościelnickaAdd opt_lut_ins pass. (#1673)
2020-02-03 Rodrigo Alejandro... Merge branch 'master' of https://github.com/YosysHQ...
2020-02-02 David ShahMerge pull request #1647 from YosysHQ/dave/sprintf
2020-02-02 David ShahMerge pull request #1657 from YosysHQ/dave/xilinx-dsp...
2020-02-02 Marcin Kościelnickixilinx: use RAM32M/RAM64M for memories with two read...
2020-01-30 Claire WolfMerge pull request #1503 from YosysHQ/eddie/verific_help
2020-01-30 Claire WolfMerge pull request #1654 from YosysHQ/eddie/sby_fix69
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2020-01-29 Claire WolfMerge pull request #1662 from YosysHQ/dave/opt-reduce...
2020-01-29 Claire WolfMerge pull request #1665 from YosysHQ/clifford/edifkeep
2020-01-29 Claire WolfMerge pull request #1659 from YosysHQ/clifford/experimental
2020-01-29 N. EngelhardtMerge pull request #1510 from pumbor/master
2020-01-29 Miodrag MilanovićMerge pull request #1559 from YosysHQ/efinix_test_fix
2020-01-29 Eddie Hungsynth_xilinx: cleanup help
2020-01-29 Eddie Hungsynth_xilinx: fix help when no active_design; fixes...
2020-01-29 Marcin Kościelnickixilinx: Add simulation model for DSP48 (Virtex 4).
2020-01-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-28 Eddie HungMerge pull request #1660 from YosysHQ/eddie/abc9_unperm...
2020-01-28 Eddie HungFix unresolved conflict from #1573
2020-01-28 Claire WolfMerge pull request #1567 from YosysHQ/eddie/sat_init_wa...
2020-01-28 N. EngelhardtMerge pull request #1573 from YosysHQ/eddie/xilinx_tristate
2020-01-28 Claire WolfMerge pull request #1553 from whitequark/manual-dffx
2020-01-27 Eddie HungFix $lut input ordering -- SigSpec(std::initializer_lis...
2020-01-27 Eddie HungImport tests from #1628
2020-01-27 Eddie Hungxilinx/ice40/ecp5: undo permuting LUT masks in lut_map
2020-01-27 Eddie HungMerge pull request #1619 from YosysHQ/eddie/abc9_refactor
2020-01-27 Eddie HungMerge branch 'eddie/abc9_refactor' into eddie/abc9_required
2020-01-27 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-27 Eddie HungMerge pull request #1656 from YosysHQ/eddie/ice40_abc9_...
2020-01-27 Claire WolfAdd log_experimental() and experimental() API and ...
2020-01-27 Claire WolfMerge pull request #1613 from porglezomp-misc/version...
2020-01-24 Eddie Hungice40: add SB_SPRAM256KA arrival time
2020-01-24 Eddie Hungice40: reduce ABC9 internal fanout warnings with a...
2020-01-24 Eddie HungFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
2020-01-22 Eddie HungFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
2020-01-22 Eddie HungMerge remote-tracking branch 'origin/eddie/abc9_fixes...
2020-01-22 Eddie HungExplicitly create separate $mux cells
2020-01-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-21 Eddie HungFix tests -- when Y_WIDTH is non-pow-2
2020-01-21 Eddie HungMove from +/shiftx2mux.v into +/techmap.v; cleanup
2020-01-21 Eddie HungNew techmap +/shiftx2mux.v which decomposes LSB first...
2020-01-21 Claire WolfMerge pull request #1637 from YosysHQ/mwk/fix-1634
2020-01-21 Claire WolfMerge pull request #1629 from YosysHQ/mwk/edif-z
2020-01-20 Claire WolfMerge pull request #1621 from YosysHQ/clifford/fminit
2020-01-18 Eddie HungMerge pull request #1643 from YosysHQ/eddie/cleanup_ari...
2020-01-18 David ShahMerge pull request #1602 from niklasnisbeth/ice40-init...
next