Cache isInterpretedFinite (#1943)
[cvc5.git] / test /
2018-05-18 Andrew ReynoldsCegis unif defaults to cegis when no unif (#1942)
2018-05-18 Andrew ReynoldsUnified fairness scheme for cegis unif (#1941)
2018-05-17 Andrew ReynoldsFix debugPrint and add regress. (#1934)
2018-05-17 Andrew ReynoldsCegis-specific infrastructure (#1933)
2018-05-15 Haniel Barbosaadding regressions (#1925)
2018-05-15 Andrew ReynoldsFix annotations in regress2. (#1917)
2018-05-14 Andrew ReynoldsAdd regressions, change defaults. (#1911)
2018-05-14 Andrew ReynoldsFlag to check invariance of entire values in sygus...
2018-05-11 Aina NiemetzRemove obsolete unit test for ackermannization. (#1906)
2018-05-11 Aina NiemetzFix ackermannize preprocessing pass. (#1904)
2018-05-11 Andres NoetzliSupport multiple sets of command line args in regs...
2018-05-10 Andrew ReynoldsSygus repair constants (#1812)
2018-05-09 Andrew ReynoldsMake symmetry-breaker-exp into a preprocessing pass...
2018-05-08 Mathias PreinerRefactor bv-abstraction preprocessing pass. (#1860)
2018-05-08 Andrew ReynoldsSupport for str.<= and str.< (#1882)
2018-05-07 Andrew ReynoldsAdd support for str.code (#1821)
2018-05-04 Mathias PreinerRefactor bv-intro-pow2 preprocessing pass. (#1851)
2018-05-04 Andrew ReynoldsSets subtypes (#1095)
2018-05-03 Andrew ReynoldsInterleave quantifiers checks with ground theory checks...
2018-05-03 Andrew ReynoldsInitial support for string standard in smt lib 2.6...
2018-05-01 Andrew ReynoldsImprove tangent planes for transcendental functions...
2018-04-30 Haniel BarbosaRefactor real2int (#1813)
2018-04-30 Andres NoetzliDisable unsat-cores/proofs for slow regression (#1835)
2018-04-30 Andrew ReynoldsAllow multiple functions in sygus unif approaches ...
2018-04-30 Andrew ReynoldsMake factoring inference more aggressive (#1825)
2018-04-25 yoni206Refactor bv-to-bool and bool-to-bv preprocessing passes...
2018-04-25 Andrew ReynoldsAdd benchmark requiring subgoal generation with inducti...
2018-04-25 Andrew ReynoldsFix issue with multi-triggers that include variable...
2018-04-20 yoni206Enforcing --no-bv-eq, --no-bv-algebraic and --no-bv...
2018-04-20 yoni206Allow metadata lines in test files to have leading...
2018-04-20 PaulMengSymmetry detection module (#1749)
2018-04-20 Andres NoetzliRestrict test summary to first-level subfolders (#1797)
2018-04-19 Andres NoetzliRefactor pbRewrites preprocessing pass (#1767)
2018-04-17 Andres NoetzliAdd timeout (option) to regression script (#1786)
2018-04-17 Andres NoetzliDisable slow regression test (#1787)
2018-04-16 Andres NoetzliDisable check proofs/unsat cores for two regs (#1785)
2018-04-14 Andres Noetzli[Reg] Make status/unsat-core detection more robust...
2018-04-14 Andres NoetzliFix get-unsat-core detection in regression script ...
2018-04-13 Andres NoetzliFix issue in regression script when proofs enabled...
2018-04-13 Andrew ReynoldsFix alpha equivalence for higher-order (#1769)
2018-04-11 Aina NiemetzRefactored BVGauss preprocessing pass. (#1766)
2018-04-11 Andrew ReynoldsProperly implement function extensionality based on...
2018-04-10 Andrew ReynoldsFix hasSubterm calls for higher-order (#1760)
2018-04-10 Andrew ReynoldsFix higher-order term indexing. (#1754)
2018-04-06 Arjun ViswanathanAdd define rec fun to cvc parser (#1738)
2018-04-06 Andres Noetzli Python regression script (#1662)
2018-04-05 Andres NoetzliUpdate README for regression tests (#1746)
2018-04-04 Andrew ReynoldsFix for corner case of higher-order matching (#1708)
2018-04-04 Andrew ReynoldsOption to turn arbitrary input into sygus (#1704)
2018-04-03 Andrew ReynoldsUse choice when expanding definitions for inverse trans...
2018-04-02 Clark BarrettRemove references to nyu (#1721)
2018-04-02 Mathias PreinerReorganize bitblaster code. (#1695)
2018-03-30 Andrew ReynoldsDisable regression (#1731)
2018-03-27 Andres NoetzliBetter normalization of string concatenation (#1719)
2018-03-26 Andres NoetzliAdd support for filtering regressions with regex (...
2018-03-26 Andres Noetzli Add reasoning for inequalities in str rewriter (#1713)
2018-03-26 Andres NoetzliRewrites for substr of strings of length one (#1712)
2018-03-23 Andrew ReynoldsAdd a few quantifiers regressions to improve coverage...
2018-03-21 Andres NoetzliFix 'make regress' (#1683)
2018-03-21 Mathias PreinerRefactor mkoptions (#1631)
2018-03-21 Andres Noetzli Move regression tests to single Makefile.am (#1658)
2018-03-21 Andres NoetzliFix various regression tests (#1657)
2018-03-21 Andrew ReynoldsFix for string disequality processing (#1679)
2018-03-20 Andrew ReynoldsInternally remove redundant assertions and infer equali...
2018-03-20 Andrew ReynoldsFix datatype dump regression. (#1672)
2018-03-20 Andrew ReynoldsEnable CEGQI for non-linear (#1674)
2018-03-09 Aina NiemetzAdd support for SMT-LIB v2.5 command get-unsat-assumpti...
2018-03-09 Andres NoetzliSkip (get-unsat-assumptions) tests not supported (...
2018-03-09 Mathias PreinerFix Travis for unit test compilation errors. (#1651)
2018-03-06 Andres NoetzliRemove printf from output utilities (#1629)
2018-03-06 Andrew ReynoldsUpdate semantics for string indexof and replace (#1630)
2018-03-05 Aina NiemetzAdd support for check-sat-assuming. (#1637)
2018-02-28 Andrew ReynoldsMinor fixes for rec-fun (#1616)
2018-02-27 Andrew ReynoldsImprove rewriter for string indexof (#1592)
2018-02-24 Andres NoetzliAdd unit tests for BitVector, minor BV rewrite fix...
2018-02-23 Andrew ReynoldsFix cd-simplification for strings (#1624)
2018-02-22 Andrew ReynoldsMinor improvements to string rewriter (#1572)
2018-02-20 Andrew ReynoldsMinor fixes and additions for transcendental functions...
2018-02-16 Aina NiemetzMake regress1 default, only test regress0 on Travis...
2018-02-15 Andrew ReynoldsFix corner case for rewrite of mult by pow 2 (#1601)
2018-02-15 Andrew ReynoldsRefactor regressions (#1581)
2018-02-15 Andres NoetzliFix context memory manager unit test (#1609)
2018-02-14 Andrew ReynoldsQuantifiers subdirectories (#1608)
2018-02-09 Tim KingRenaming CHECK to CVC4_CHECK. This avoids name collisio...
2018-02-08 Aina NiemetzUpdated copyright
2018-02-08 Andres NoetzliRemove invalid regression test (#1579)
2018-02-07 Tim KingAdds a new CHECK macro that abort()s on failure. (...
2018-02-07 Andrew ReynoldsAdd remaining transcendental functions (#1551)
2018-02-06 Andrew ReynoldsFix two multiply-by-constant corner cases for bv rewrit...
2018-02-06 Andrew ReynoldsFix rewrite for string replace (#1537)
2018-02-06 Andrew ReynoldsStatically eliminate redundant sygus constructors ...
2018-02-02 Andrew ReynoldsFix remaining synthesis solution regressions (#1557)
2018-02-02 Haniel BarbosaOption to check solutions produced by SyGuS solver...
2018-01-25 Aina NiemetzAdded unit tests for PLUS, NEG, NOT ICs for CBQI BV...
2018-01-22 Aina NiemetzRefactor and fix solveBvLit for CBQI BV. (#1526)
2018-01-12 Andrew ReynoldsImprovements for CBQI BV (#1504)
2018-01-10 Mathias PreinerFix linearization for terms where the solve variable...
2018-01-08 Andrew ReynoldsImprovements to quant+BV/Bool variable elimination...
2018-01-05 Mathias PreinerAdd UGT/SGT side conditions for AND/OR + other fixes...
2018-01-03 Andrew ReynoldsGlobal negate (#1466)
next