Fix generate scoping issues
[yosys.git] / tests / arch /
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_ice40: Use opt_dff.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
2020-07-28 clairexenMerge pull request #2301 from zachjs/for-loop-errors
2020-07-28 clairexenMerge pull request #2306 from YosysHQ/mwk/equiv_induct...
2020-07-27 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-26 Dan Ravensloftintel_alm: increase abc9 -W
2020-07-26 clairexenMerge pull request #2299 from zachjs/arg-loop
2020-07-24 Marcelina Kościelnickasatgen: Add support for dffe, sdff, sdffe, sdffce cells.
2020-07-23 clairexenMerge pull request #2285 from YosysHQ/mwk/techmap-cellname
2020-07-23 clairexenMerge pull request #2294 from Ravenslofty/intel_alm_timings
2020-07-23 Dan Ravensloftintel_alm: add additional ABC9 timings
2020-07-16 clairexenMerge pull request #2229 from Ravenslofty/sf2_remove_sf...
2020-07-16 clairexenMerge pull request #2273 from whitequark/write-verilog...
2020-07-16 clairexenMerge pull request #2272 from whitequark/write-verilog-sv
2020-07-16 Miodrag MilanovićMerge pull request #2238 from YosysHQ/mwk/dfflegalize...
2020-07-16 Miodrag MilanovićMerge pull request #2226 from YosysHQ/mwk/nuke-efinix...
2020-07-15 clairexenMerge pull request #2257 from antmicro/fix-conflicts
2020-07-14 Marcelina Kościelnickaanlogic: Use dfflegalize.
2020-07-13 LoftyRevert "intel_alm: direct M10K instantiation"
2020-07-12 Marcelina Kościelnickaxilinx: Fix srl regression.
2020-07-09 clairexenMerge pull request #2244 from antmicro/logic
2020-07-06 Marcelina Kościelnickagowin: Use dfflegalize.
2020-07-05 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-05 Dan Ravensloftsynth_gowin: ABC9 support
2020-07-05 Dan Ravensloftintel_alm: add Cyclone 10 GX tests
2020-07-05 Marcelina KościelnickaMerge pull request #2236 from YosysHQ/mwk/dfflegalize...
2020-07-05 whitequarkMerge pull request #2227 from Ravenslofty/ccache
2020-07-05 Marcelina KościelnickaMerge pull request #2232 from YosysHQ/mwk/gowin-sim...
2020-07-05 Dan Ravensloftintel_alm: DSP inference
2020-07-04 Marcelina Kościelnickasynth_intel_alm: Use dfflegalize.
2020-07-04 Dan RavensloftImprove MISTRAL_FF specify rules
2020-07-04 Eddie Hungtests: update fsm.ys resource count
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-06-18 N. EngelhardtMerge pull request #2153 from boqwxp/splitnets-cleanup
2020-06-18 whitequarkMerge pull request #2142 from whitequark/splitnets...
2020-06-13 whitequarkMerge pull request #2145 from whitequark/cxxrtl-splitnets
2020-06-12 whitequarkMerge pull request #2149 from whitequark/cxxrtl-unbuffe...
2020-06-11 Dan Ravensloftintel_alm: fix DFFE matching
2020-06-08 clairexenMerge pull request #2085 from rswarbrick/select
2020-06-08 clairexenMerge pull request #2089 from rswarbrick/modports
2020-06-08 clairexenMerge pull request #2105 from whitequark/split-flatten...
2020-06-06 whitequarkMerge pull request #2110 from BracketMaster/master
2020-06-05 whitequarkMerge pull request #2113 from whitequark/cxxrtl-fix...
2020-06-05 N. EngelhardtMerge pull request #2109 from nakengelhardt/btor_intern...
2020-06-04 Claire WolfAdd missing .gitignore file
2020-06-04 clairexenMerge pull request #2041 from PeterCrozier/struct
2020-06-04 clairexenMerge pull request #2099 from Xiretza/manual-include...
2020-06-04 Eddie HungMerge pull request #2077 from YosysHQ/eddie/abc9_dff_im...
2020-06-04 whitequarkMerge pull request #2006 from jersey99/signed-in-rtlil...
2020-06-04 N. EngelhardtMerge pull request #2070 from hackfin/master
2020-06-04 Eddie HungMerge pull request #2082 from YosysHQ/eddie/abc9_scc_fixes no_loop
2020-06-03 Peter CrozierMerge branch 'master' into struct
2020-06-03 Eddie Hungtests: tidy up testcase
2020-06-03 Eddie HungMerge pull request #2080 from YosysHQ/eddie/fix_test_wa...
2020-06-02 clairexenMerge pull request #2102 from YosysHQ/tests_fix
2020-06-01 Miodrag Milanovicallow range for mux test
2020-05-31 clairexenMerge pull request #1862 from boqwxp/cleanup_techmap
2020-05-30 Eddie HungMerge pull request #2081 from YosysHQ/eddie/blackbox_ast
2020-05-30 Eddie Hungabc9_ops: update messaging (credit to @Xiretza for...
2020-05-30 clairexenMerge pull request #2018 from boqwxp/qbfsat-timeout
2020-05-29 clairexenMerge pull request #2029 from whitequark/fix-simplify...
2020-05-29 clairexenMerge pull request #2017 from boqwxp/qbfsat-cvc4
2020-05-29 clairexenMerge pull request #2016 from boqwxp/qbfsat-yices
2020-05-29 whitequarkMerge pull request #2033 from boqwxp/cleanup-verilog...
2020-05-28 whitequarkMerge pull request #2091 from boqwxp/printattrs
2020-05-28 whitequarkMerge pull request #2051 from Xiretza/makefile-cd-warning
2020-05-28 whitequarkMerge pull request #2031 from epfl-vlsc/master
2020-05-28 whitequarkMerge pull request #2063 from boqwxp/techmapped-firrtl
2020-05-26 whitequarkMerge pull request #2090 from whitequark/cxxrtl-fixes
2020-05-25 Eddie Hungtests: add ecp5 latch testcase with -abc9
2020-05-25 Eddie HungMerge pull request #2078 from YosysHQ/eddie/xilinx_sim_tidy
2020-05-25 Eddie Hungtests: xilinx macc test to have initval, shorten BMC...
2020-05-25 Eddie Hungtests: fix some test warnings
2020-05-25 Eddie Hungtests: add test for abc9 -dff removing a redundant...
2020-05-25 Eddie Hungtests: add testcase for abc9 -dff preserving flop names
2020-05-25 clairexenMerge pull request #2015 from boqwxp/qbfsat-bisection
2020-05-21 Eddie HungMerge pull request #2057 from YosysHQ/eddie/fix_task_attr
2020-05-21 Miodrag MilanovićMerge pull request #2059 from boqwxp/logger-vector...
2020-05-20 N. EngelhardtMerge pull request #2046 from PeterCrozier/trap
2020-05-20 N. EngelhardtMerge pull request #2054 from boqwxp/fix-smtbmc
2020-05-18 Marcelina KościelnickaAdd force_downto and force_upto wire attributes.
2020-05-18 Eddie HungMerge pull request #1926 from YosysHQ/eddie/abc9_auto_dff
2020-05-14 Eddie HungMerge pull request #1994 from YosysHQ/eddie/fix_bug1758
2020-05-14 Eddie Hungabc9_ops: add -prep_bypass for auto bypass boxes; refactor
2020-05-14 Eddie Hungabc9: suppress warnings when no compatible + used flop...
2020-05-14 Eddie Hungxilinx: update abc9_dff tests
2020-05-14 Eddie Hungxilinx: remove no-longer-relevant test
2020-05-14 Claire WolfMerge pull request #2027 from YosysHQ/eddie/verilog_neg...
2020-05-08 Claire WolfMerge pull request #2038 from nakengelhardt/no-libdir...
2020-05-08 whitequarkMerge pull request #2022 from Xiretza/fallthroughs
2020-05-07 Dan Ravensloftintel_alm: direct LUTRAM cell instantiation
2020-05-03 whitequarkMerge pull request #2000 from whitequark/log_error...
2020-05-01 Claire WolfMerge pull request #1981 from YosysHQ/claire/fix1837
2020-04-24 whitequarkMerge pull request #1998 from whitequark/cxxrtl-fixes
2020-04-23 Eddie HungMerge pull request #1974 from YosysHQ/eddie/abc9_disabl...
2020-04-23 Claire WolfMerge pull request #1989 from boqwxp/qbfsat_anyconst_so...
2020-04-23 Claire WolfMerge pull request #1988 from boqwxp/qbfsat
2020-04-23 Claire WolfMerge pull request #1986 from YosysHQ/eddie/verific_enum
2020-04-23 Dan Ravensloftintel_alm: work around a Quartus ICE
next