Merge pull request #3127 from whitequark/cxxrtl-no-reset-elided
[yosys.git] / tests / arch /
2021-12-10 Miodrag MilanovićMerge pull request #3097 from YosysHQ/modport
2021-12-10 Claire XenMerge pull request #3099 from YosysHQ/claire/readargs
2021-12-09 Claire Xenia WolfFix the tests we just broke
2021-12-03 Miodrag MilanovicAdd gitignore for gatemate
2021-11-13 Patrick Urbansynth_gatemate: Update pass
2021-11-13 Patrick Urbansynth_gatemate: Apply new test practice with assert-max
2021-11-13 Patrick Urbansynth_gatemate: Fix fsm test
2021-11-13 Patrick UrbanAllow initial blocks to be disabled during tests
2021-11-13 Patrick Urbansynth_gatemate: Initial implementation
2021-11-10 Claire XenMerge pull request #3077 from YosysHQ/claire/genlib
2021-11-09 Marcelina Kościelnickaiopadmap: Add native support for negative-polarity...
2021-10-07 Marcelina KościelnickaFfData: some refactoring.
2021-09-09 Eddie Hungabc9: replace cell type/parameters if derived type...
2021-08-20 Pepijn de VosGowin: deal with active-low tristate (#2971)
2021-08-11 Marcelina Kościelnickatest/arch/{ecp5,ice40}/memories.ys: Use read_verilog...
2021-08-11 Marcelina KościelnickaAdd v2 memory cells.
2021-07-29 Marcelina Kościelnickaopt_lut: Allow more than one -dlogic per cell type.
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-09 Claire Xenia WolfFix files with CRLF line endings
2021-05-25 Marcelina Kościelnickamemory_bram: Reuse extract_rdff helper for make_outreg.
2021-05-15 gatecatintel_alm: Fix illegal carry chains
2021-05-15 gatecatintel_alm: Add global buffer insertion
2021-05-15 gatecatintel_alm: Add IO buffer insertion
2021-04-21 Claire XenMerge pull request #2669 from YosysHQ/claire/ice40defaults
2021-04-20 Claire Xenia WolfAdd default assignments to SB_LUT4
2021-04-17 Loftyquicklogic: ABC9 synthesis
2021-03-23 N. EngelhardtMerge pull request #2696 from nakengelhardt/guidelines
2021-03-23 Marcelina Kościelnickaquicklogic: Add .gitignore file for test outputs.
2021-03-19 Miodrag MilanovićMerge pull request #2681 from msinger/fix-issue2606
2021-03-18 Loftyquicklogic: PolarPro 3 support
2021-03-17 Marcelina Kościelnickaast: Use better parameter serialization for paramod...
2021-03-17 gatecatBlackbox all whiteboxes after synthesis
2021-03-01 Claire XenMerge pull request #2523 from tomverbeure/define_synthesis
2021-03-01 Claire XenMerge pull request #2524 from bkbncn/patch-1
2021-02-25 whitequarkMerge pull request #2554 from hzeller/master
2021-02-23 whitequarkMerge pull request #2594 from zachjs/func-arg-width
2021-02-23 William D. Jonesmachxo2: Switch to LUT4 sim model which propagates...
2021-02-23 William D. Jonesmachxo2: Update tribuf test to reflect active-low OE.
2021-02-23 William D. Jonesmachxo2: Add believed-to-be-correct tribuf test.
2021-02-23 William D. Jonesmachxo2: Add passing fsm, mux, and shifter tests.
2021-02-23 William D. Jonesmachxo2: Add add_sub test. Fix tests to include FACADE_...
2021-02-23 William D. Jonesmachxo2: Add dffe test.
2021-02-23 William D. Jonesmachxo2: Add dff.ys test, fix another cells_map.v typo.
2021-02-23 William D. Jonesmachxo2: Add test/arch/machxo2 directory (test does...
2021-02-12 gatecatMerge pull request #2585 from YosysHQ/dave/nexus-dotproduct
2021-02-03 whitequarkMerge pull request #2436 from dalance/fix_generate
2021-01-29 whitequarkMerge pull request #2564 from whitequark/flatten-improv...
2021-01-28 Claire XenMerge pull request #2535 from Ravenslofty/scc-specify
2021-01-26 Marcelina Kościelnickaxilinx_dffopt: Don't crash on missing IS_*_INVERTED.
2020-11-25 whitequarkMerge pull request #2452 from whitequark/rtlil-remove...
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-25 whitequarkMerge pull request #2442 from cr1901/sccache
2020-11-24 whitequarkMerge pull request #2428 from whitequark/check-processes
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-11-20 Miodrag MilanovićMerge pull request #2443 from YosysHQ/dave/nexus-mult...
2020-11-20 David Shahnexus: DSP inference support
2020-11-18 Miodrag MilanovićMerge pull request #2441 from YosysHQ/dave/nexus_dsp_sim
2020-11-10 Miodrag MilanovićMerge pull request #2433 from YosysHQ/paths_as_globals
2020-11-07 whitequarkMerge pull request #2414 from zeldin/abc-depend-clang-fix
2020-11-07 Miodrag MilanovićMerge pull request #2432 from Xiretza/nexus-tests
2020-10-29 XiretzaUpdate nexus arch tests to new harness
2020-10-24 Marcelina Kościelnickaxilinx: Fix attributes_test.ys
2020-10-22 N. EngelhardtMerge pull request #2403 from nakengelhardt/sim_timescale
2020-10-22 Marcelina Kościelnickamemory_dff: Fix needlessly duplicating enable bits.
2020-10-20 clairexenMerge pull request #2405 from byuccl/fix_xilinx_cells
2020-10-19 Miodrag MilanovićMerge pull request #2397 from daveshah1/nexus
2020-10-15 David Shahsynth_nexus: Initial implementation
2020-10-01 clairexenMerge pull request #2378 from udif/pr_dollar_high_low
2020-10-01 clairexenMerge pull request #2380 from Xiretza/parallel-tests
2020-09-23 Eddie Hung xilinx: do not make DSP48E1 a whitebox for ABC9 by...
2020-09-21 Xiretzatests: Centralize test collection and Makefile generation
2020-09-17 clairexenMerge pull request #2329 from antmicro/arrays-fix-multi...
2020-09-17 clairexenMerge pull request #2330 from antmicro/arrays-fix-multi...
2020-09-01 clairexenMerge pull request #2352 from zachjs/const-func-localparam
2020-09-01 clairexenMerge pull request #2366 from zachjs/library-format
2020-09-01 clairexenMerge pull request #2353 from zachjs/top-scope
2020-09-01 clairexenMerge pull request #2365 from zachjs/const-arg-loop...
2020-08-27 whitequarkMerge pull request #2357 from whitequark/cxxflags-MP
2020-08-27 whitequarkMerge pull request #2356 from whitequark/flatten-techma...
2020-08-27 whitequarkMerge pull request #2358 from whitequark/rename-ilang...
2020-08-26 Dan Ravensloftintel_alm: Add multiply signedness to cells
2020-08-22 clairexenMerge pull request #2349 from nmoroze/smt2-bugfix
2020-08-20 clairexenMerge pull request #2347 from YosysHQ/mwk/techmap-shift...
2020-08-20 clairexenMerge pull request #2333 from YosysHQ/mwk/peepopt-shift...
2020-08-20 clairexenMerge pull request #2327 from YosysHQ/mwk/techmap-const...
2020-08-20 clairexenMerge pull request #2326 from YosysHQ/mwk/peeopt-muldiv...
2020-08-20 clairexenMerge pull request #2319 from YosysHQ/mwk/techmap-cellt...
2020-08-20 Marcelina Kościelnickatechmap/shift_shiftx: Remove the "shiftx2mux" special...
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 clairexenMerge pull request #2317 from zachjs/expand-genblock
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-08-07 Marcelina KościelnickaReplace opt_rmdff with opt_dff.
2020-07-30 Marcelina Kościelnickaopt_expr: Remove -clkinv option, make it the default.
2020-07-30 Marcelina Kościelnickasynth_ice40: Use opt_dff.
2020-07-30 Marcelina Kościelnickasynth_xilinx: Use opt_dff.
2020-07-28 clairexenMerge pull request #2301 from zachjs/for-loop-errors
2020-07-28 clairexenMerge pull request #2306 from YosysHQ/mwk/equiv_induct...
2020-07-27 Dan Ravensloftintel_alm: direct M10K instantiation
2020-07-26 Dan Ravensloftintel_alm: increase abc9 -W
next