Do not use shiftmul peepopt pattern when mul result is truncated, fixes #1047
[yosys.git] / tests / asicworld / code_hdl_models_GrayCounter.v
2013-01-05 Clifford Wolfinitial import