Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / bug2824.ys
2021-06-11 Marcelina KoƛcielnickaAdd regression test for #2824.